SwePub
Tyck till om SwePub Sök här!
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "db:Swepub ;pers:(Jantsch Axel);srt2:(2005-2009)"

Sökning: db:Swepub > Jantsch Axel > (2005-2009)

  • Resultat 41-50 av 107
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
41.
  • Lu, Zhonghai, et al. (författare)
  • A Flow Regulator for On-Chip Communication
  • 2009
  • Ingår i: IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS. - 9781424452200 ; , s. 151-154
  • Konferensbidrag (refereegranskat)abstract
    • We have proposed (sigma, rho)-based flow regulation as a design instrument for System-on-Chip (SoC) architects to control quality-of-service and achieve cost-effective communication, where sigma bounds the traffic burstiness and rho the traffic rate. In this paper, we present a hardware implementation of the regulator. We discuss its microarchitecture. Based on this microarchitecture, we design, implement and synthesize a multi-flow regulator for AXI. Our experiments show the effectiveness of such a regulation device on the control of delay, jitter and buffer requirements.
  •  
42.
  • Lu, Zhonghai, et al. (författare)
  • A power efficient flit-admission scheme for wormhole-switched networks on chip
  • 2005
  • Ingår i: WMSCI 2005. - 9789806560567 ; , s. 25-30
  • Konferensbidrag (refereegranskat)abstract
    • Reducing power consumption is a main challenge when adopting a network as a global on-chip communication interconnect since the reduction in power dissipation should not at the expense of degrading the system performance. We investigate power in a wormhole-switched network with focus on the impact of flit-admission schemes, i.e., when and how the flits of packets are admitted into the network We have proposed a novel flit-admission scheme that shows significant shrink of the switch complexity while maintaining equivalent network performance. This paper investigates its influence in network power involving both switches and links. We conduct experiments on a 2D mesh network. The results show that our flit-admission scheme achieves significant power and area reduction without performance penalty. To our knowledge, our work is the first study of power dissipation on flit admission schemes.
  •  
43.
  • Lu, Zhonghai, et al. (författare)
  • Admitting and ejecting flits in wormhole-switched networks on chip
  • 2007
  • Ingår i: Iet Computers and Digital Techniques. - : Institution of Engineering and Technology (IET). - 1751-8601. ; 1:5, s. 546-556
  • Tidskriftsartikel (refereegranskat)abstract
    • Reducing the design complexity of switches is essential for cost reduction and power saving in on-chip networks. In wormhole-switched networks, packets are split into flits which are then admitted into and delivered in the network. When reaching destinations, flits are ejected from the network. Since flit admission, flit delivery and flit ejection interfere with each other directly and indirectly, techniques for admitting and ejecting flits exert a significant impact on network performance and switch cost. Different flit-admission and flit-ejection micro-architectures are investigated. In particular, for flit admission, a novel coupling scheme which binds a flit-admission queue with a physical channel (PC) is presented. This scheme simplifies the switch crossbar from 2p x p to (p + 1) x p, where p is the number of PCs per switch. For flit ejection, a p-sink model that uses only p flit sinks to eject flits is proposed. In contrast to an ideal ejection model which requires p . v flit sinks (v is the number of virtual channels per PC), the buffering cost of flit sinks becomes independent of v. The proposed flit-admission and flit-ejection schemes are evaluated with both uniform and locality traffic in a 2D 4 x 4 mesh network. The results show that both schemes do not degrade network performance in terms of average packet latency and throughput if the flit injection rate is slower than 0.57 flit/cycle/node.
  •  
44.
  • Lu, Zhonghai, et al. (författare)
  • Cluster-based simulated annealing for mapping cores onto 2D mesh networks on chip
  • 2008
  • Ingår i: 2008 IEEE Workshop On Design And Diagnostics Of Electronic Circuits And Systems, Proceedings. - 9781424422760 ; , s. 92-97
  • Konferensbidrag (refereegranskat)abstract
    • In Network-on-Chip (NoC) application design, core-to-node mapping is an important but intractable optimization problem. In the paper, we use simulated annealing to tackle the mapping problem in 2D mesh NoCs. In particular, we combine a clustering technique with the simulated annealing to speed up the convergence to near-optimal solutions. The clustering exploits the connectivity and distance relation in the network architecture as well as the locality and bandwidth requirements in the core communication graph. The annealing is cluster-aware and may be dynamically constrained within clusters. Our experiments suggest that simulated annealing can be effectively used to solve the mapping problem with a scalable size, and the combined strategy improves over the simulated annealing in execution time by up to 30% without compromising the quality of solutions.
  •  
45.
  • Lu, Zhonghai, et al. (författare)
  • Connection-oriented multicasting in wormhole-switched networks on chip
  • 2006
  • Ingår i: IEEE Computer Society Annual Symposium on VLSI, Proceedings - EMERGING VLSI TECHNOLOGIES AND ARCHITECTURES. ; , s. 205-210
  • Konferensbidrag (refereegranskat)abstract
    • Network-on-Chip (NoC) proposes networks to replace buses as a scalable global communication interconnect for future SoC designs. However, a bus is very efficient in broadcasting. As the system size scales up to explore the chip capacity, broadcasting in NoCs must be efficiently supported. This paper presents a novel multicast scheme in wormhole-switched NoCs. By this scheme, a multicast procedure consists of establishment, communication and release phase. A multicast group can request to reserve virtual channels during establishment and has priority on arbitration of link bandwidth. This multicasting method has been effectively implemented in a mesh network with dead-lock freedom. Our experiments show that the multicast technique improves throughput, and does not exhibit significant impact on unicast performance in a network with mixed unicast and multicast traffic if the network is not saturated.
  •  
46.
  • Lu, Zhonghai, et al. (författare)
  • Connection-oriented multicasting in wormhole-switched networks on chip
  • 2006
  • Ingår i: Proceedings of the 16th ACM Great Lakes symposium on VLSI. - New York, NY, USA : Association for Computing Machinery (ACM). ; , s. 296-301
  • Konferensbidrag (refereegranskat)abstract
    • Deflection routing is being proposed for networks on chips since it is simple and adaptive. A deflection switch can be much smaller and faster than a wormhole or virtual cut-through switch. A deflection-routed network has three orthogonal characteristics: topology, routing algorithm and deflection policy. In this paper we evaluate deflection networks with different topologies such as mesh, torus and Manhattan Street Network, different routing algorithms such as random, dimension XY, delta XY and minimum deflection, as well as different deflection policies such as non-priority, weighted priority and straight-through policies. Our results suggest that the performance of a deflection network is more sensitive to its topology than the other two parameters. It is less sensitive to its routing algorithm, but a routing algorithm should be minimal. A priority-based deflection policy that uses global and history-related criterion can achieve both better average-case and worst-case performance than a non-priority or priority policy that uses local and stateless criterion. These findings are important since they can guide designers to make right decisions on the deflection network architecture, for instance, selecting a routing algorithm or deflection policy which has potentially low cost and high speed for hardware implementation.
  •  
47.
  • Lu, Zhonghai (författare)
  • Design and Analysis of On-Chip Communication for Network-on-Chip Platforms
  • 2007
  • Doktorsavhandling (övrigt vetenskapligt/konstnärligt)abstract
    • Due to the interplay between increasing chip capacity and complex applications, System-on-Chip (SoC) development is confronted by severe challenges, such as managing deep submicron effects, scaling communication architectures and bridging the productivity gap. Network-on-Chip (NoC) has been a rapidly developed concept in recent years to tackle the crisis with focus on network-based communication. NoC problems spread in the whole SoC spectrum ranging from specification, design, implementation to validation, from design methodology to tool support. In the thesis, we formulate and address problems in three key NoC areas, namely, on-chip network architectures, NoC network performance analysis, and NoC communication refinement. Quality and cost are major constraints for micro-electronic products, particularly, in high-volume application domains. We have developed a number of techniques to facilitate the design of systems with low area, high and predictable performance. From flit admission and ejection perspective, we investigate the area optimization for a classical wormhole architecture. The proposals are simple but effective. Not only offering unicast services, on-chip networks should also provide effective support for multicast. We suggest a connection-oriented multicasting protocol which can dynamically establish multicast groups with quality-of-service awareness. Based on the concept of a logical network, we develop theorems to guide the construction of contention-free virtual circuits, and employ a back-tracking algorithm to systematically search for feasible solutions. Network performance analysis plays a central role in the design of NoC communication architectures. Within a layered NoC simulation framework, we develop and integrate traffic generation methods in order to simulate network performance and evaluate network architectures. Using these methods, traffic patterns may be adjusted with locality parameters and be configured per pair of tasks. We propose also an algorithm-based analysis method to estimate whether a wormhole-switched network can satisfy the timing constraints of real-time messages. This method is built on traffic assumptions and based on a contention tree model that captures direct and indirect network contentions and concurrent link usage. In addition to NoC platform design, application design targeting such a platform is an open issue. Following the trends in SoC design, we use an abstract and formal specification as a starting point in our design flow. Based on the synchronous model of computation, we propose a top-down communication refinement approach. This approach decouples the tight global synchronization into process local synchronization, and utilizes synchronizers to achieve process synchronization consistency during refinement. Meanwhile, protocol refinement can be incorporated to satisfy design constraints such as reliability and throughput. The thesis summarizes the major research results on the three topics.
  •  
48.
  • Lu, Zhonghai, et al. (författare)
  • Feasibility analysis of messages for on-chip networks using wormhole routing
  • 2005
  • Ingår i: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, VOLS 1 AND 2. - New York, New York, USA : IEEE conference proceedings. ; , s. 960-964
  • Konferensbidrag (refereegranskat)abstract
    • The feasibility of a message in a network concerns if its timing property can be satisfied without jeopardizing any messages already in the network to meet their timing properties. We present a novel feasibility analysis for real-time (RT) and non-realtime (NT) messages in wormhole-routed networks on chip. For RT messages, we formulate a contention tree that captures contentions in the network. For coexisting RT and NT messages, we propose a simple bandwidth partitioning method that allows us to analyze their feasibility independently.
  •  
49.
  • Lu, Zhonghai, et al. (författare)
  • Flow Regulation for On-Chip Communication
  • 2009
  • Ingår i: DATE. - 9781424437818 ; , s. 578-581
  • Konferensbidrag (refereegranskat)abstract
    • We propose (sigma, rho)-based flow regulation as a design instrument for System-on-Chip (SoC) architects to control quality-of-service and achieve cost-effective communication, where sigma bounds the traffic burstiness and rho the traffic rate. This regulation changes the burstiness and timing of traffic flows, and can be used to decrease delay and reduce buffer requirements in the SoC infrastructure. In this paper, we define and analyze the regulation spectrum, which bounds the upper and lower limits of regulation. Experiments on a Network-on-Chip (NoC) with guaranteed service demonstrate the benefits of regulation We conclude that flow regulation may exert significant positive impact on communication performance and buffer requirements.
  •  
50.
  • Lu, Zhonghai, et al. (författare)
  • Layered switching for networks on chip
  • 2007
  • Ingår i: 2007 44th ACM/IEEE Design Automation Conference, Vols 1 And 2. - 9781595937711 ; , s. 122-127
  • Konferensbidrag (refereegranskat)abstract
    • We present and evaluate a novel switching mechanism called layered switching. Conceptually, the layered switching implements wormhole on top of virtual cut-through switching. To show the feasibility of layered switching, as well as to confirm its advantages, we conducted an RTL implementation study based on a canonical wormhole architecture. Synthesis results show that our strategy suggests negligible degradation in hardware speed (1%) and area overhead (7%). Simulation results demonstrate that it achieves higher throughput than wormhole alone while significantly reducing the buffer space required at network nodes when compared with virtual cut-through.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 41-50 av 107
Typ av publikation
konferensbidrag (70)
tidskriftsartikel (18)
rapport (5)
bokkapitel (5)
licentiatavhandling (4)
doktorsavhandling (3)
visa fler...
samlingsverk (redaktörskap) (1)
annan publikation (1)
visa färre...
Typ av innehåll
refereegranskat (87)
övrigt vetenskapligt/konstnärligt (20)
Författare/redaktör
Lu, Zhonghai (40)
Sander, Ingo (19)
Liu, Ming (8)
Millberg, Mikael (7)
Nabiev, Rustam (6)
visa fler...
Tenhunen, Hannu (5)
Bertozzi, Davide (5)
Benini, Luca (5)
Zhu, Jun (5)
Al-Khatib, Iyad (4)
Poletti, Francesco (4)
Bechara, Mohamed (4)
Khalifeh, Hasan (4)
Zhou, Dian (4)
Wang, Qiang (3)
Zheng, Lirong (3)
Jonsson, Sven (3)
Xu, Hao (3)
Lang, Johannes (3)
Li, Lu (3)
Seceleanu, Tiberiu (3)
Henriksson, Tomas (3)
Jantsch, Axel, Profe ... (3)
Villar, Eugenio (3)
Grecu, Cristian (3)
Salminen, Erno (3)
Grimm, Christoph (3)
Bruce, Alistair (3)
Herrholz, Andreas (3)
Nebel, Wolfgang (3)
Eles, Petru (2)
Zheng, Li-Rong (2)
Weerasekera, Roshan (2)
Weldezion, Awet Yema ... (2)
Hajjar, Mazen (2)
Sander, Ingo, 1964- (2)
Chen, Xiaowen (2)
Wang, Weixing (2)
Pamunuwa, Dinesh (2)
Herrera, Fernando (2)
Grange, Matt (2)
Ivanov, Andre (2)
Pande, Partha (2)
Ogras, Umit (2)
Marculescu, Radu (2)
Shukla, Sandeep (2)
Wolf, Pieter van der (2)
Oppenheimer, Frank (2)
Schallenberg, Andrea ... (2)
visa färre...
Lärosäte
Kungliga Tekniska Högskolan (106)
Linköpings universitet (1)
Jönköping University (1)
Språk
Engelska (107)
Forskningsämne (UKÄ/SCB)
Teknik (70)
Naturvetenskap (33)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy