SwePub
Sök i SwePub databas

  form:Ext_t

Träfflista för sökning "db:Swepub ;pers:(Jantsch Axel);srt2:(2005-2009)"

form:Search_simp_t: db:Swepub > Jantsch Axel > (2005-2009)

  • navigation:Result_t 1-10 navigation:of_t 107
hitlist:Modify_result_t
   
hitlist:Enumeration_thitlist:Reference_thitlist:Reference_picture_thitlist:Find_Mark_t
1.
  • Al-Khatib, Iyad, et al. (creator_code:aut_t)
  • A Multiprocessor System-on-Chip for Real-Time Biomedical Monitoring and Analysis : Architectural Design Space Exploration
  • 2006
  • record:In_t: DAC '06. - New York, New York, USA : ACM Press. ; , s. 125-130
  • swepub:Mat_conferencepaper_t (swepub:level_refereed_t)abstract
    • In this paper we focus on MPSoC architectures for human heart ECGreal-time monitoring and analysis. This is a very relevant bio-medicalapplication, with a huge potential market, hence it is an ideal targetfor an application-specific SoC implementation. We investigate asymmetric multi-processor architecture based on STMicroelectronicsVLIW DSPs that process in real-time 12-lead ECG signals. Thisarchitecture improves upon state-of-the-art SoC designs for ECGanalysis in its ability to analyze the full 12 leads in real-time, evenwith high sampling frequencies, and ability to detect heartmalfunction. We explore the design space by considering a number ofhardware and software architectural options.
  •  
2.
  • Al Khatib, Iyad, et al. (creator_code:aut_t)
  • ECG-BIONET : A global biomedical network for human heart monitoring and analysis: Performance needs of an electrocardiogram Telemedicine platform for medical aid at the point-of-need
  • 2006
  • record:In_t: 25TH IEEE INTERNATIONAL CONFERENCE ON COMPUTER COMMUNICATIONS. - New York : IEEE. - 9781424402212 ; , s. 3282-3283
  • swepub:Mat_conferencepaper_t (swepub:level_refereed_t)abstract
    • In this paper, we propose a Tele-medicine application platform as a medical aid for patients suffering from Heart malfunction. We focus on heart diseases since they remain by far the major cause of death in the globe. Our solution utilizes the Satellite communication protocol DVB-RCS (Digital Video Broadcast- Return Channel Satellite), Wi-Fi, and the Network-on-Chip (NoC) technology. We utilize the 12-lead ECG biomedical technique to detect heart disorders via the biomedical NoC, which transmits the medical alarm and results via the biomedical network, ECG-BIONET. We do not investigate the DVB-RCS standard or Wi-Fi technology, but rather we try to utilize this technology, and we look at it from a performance point of view for our application by investigating three parameters, namely: delay, packet loss, and reliability. We follow a top down approach by looking at the needs of the application from a performance guarantee for our specific-purpose network.
  •  
3.
  • Al Khatib, Iyad, et al. (creator_code:aut_t)
  • Hardware/Software architecture for real-time ECG monitoring and analysis leveraging MPSoC technology
  • 2007
  • record:In_t: Transactions on High-Performance Embedded Architectures and Compilers I. - Berlin, Heidelberg : Springer Berlin Heidelberg. - 9783540715276 ; , s. 239-258
  • swepub:Mat_conferencepaper_t (swepub:level_refereed_t)abstract
    • The interest in high performance chip architectures for biomedical applications is gaining a lot of research and market interest. Heart diseases remain by far the main cause of death and a challenging problem for biomedical engineers to monitor and analyze. Electrocardiography (ECG) is an essential practice in heart medicine. However, ECG analysis still faces computational challenges, especially when 12 lead signals are to be analyzed in parallel, in real time, and under increasing sampling frequencies. Another challenge is the analysis of huge amounts of data that may grow to days of recordings. Nowadays, doctors use eyeball monitoring of the 12-lead ECG paper readout, which may seriously impair analysis accuracy. Our solution leverages the advance in multi-processor system-on-chip architectures, and it is centered on the parallelization of the ECG computation kernel. Our Hardware- Software (HW/SW) Multi-Processor System-on-Chip (MPSoQ design improves upon state-of-the-art mostly for its capability to perform real-time analysis of input data, leveraging the computation horsepower provided by many concurrent DSPs, more accurate diagnosis of cardiac diseases, and prompter reaction to abnormal heart alterations. The design methodology to go from the 12-lead ECG application specification to the final HW/SW architecture is the focus of this paper. We explore the design space by considering a number of hardware and software architectural variants, and deploy industrial components to build up the system.
  •  
4.
  • Al-Khatib, Iyad, et al. (creator_code:aut_t)
  • Performance Analysis and Design Space Exploration for High-End Biomedical Applications : Challenges and Solutions
  • 2007
  • record:In_t: Proceedings of the International Conference on Hardware - Software Codesign and System Synthesis. - New York, NY, USA : ACM. - 9781595938244 ; , s. 217-226
  • swepub:Mat_conferencepaper_t (swepub:level_refereed_t)abstract
    • High-end biomedical applications are a good target for specific-purpose system-on-chip (SoC) implementations. Human heart electrocardiogram (ECG) real-time monitoring andanalysis is an immediate example with a large potential market. Today, the lack of scalable hardware platforms limits real-time analysis capabilities of most portable ECG analyzers, and prevents the upgrade of analysis algorithms for better accuracy. Multiprocessor system-on-chip (MPSoC) technology, which is becoming main-stream in the domain of high-performance microprocessors, is becoming attractive even for power-constrained portable applications, due to the capability to provide scalable computation horsepower at an affordable power cost. This paper illustrates one of the first comprehensive HW/SW exploration frameworks to fully exploit MPSoC technology to improve the quality of real-time ECG analysis.
  •  
5.
  • Al Khatib, Iyad, 1975- (creator_code:aut_t)
  • Performance Analysis of Application-Specific Multicore Systems on Chip
  • 2008
  • swepub:Mat_doctoralthesis_t (swepub:level_scientificother_t)abstract
    • The last two decades have witnessed the birth of revolutionary technologies in data communications including wireless technologies, System on Chip (SoC), Multi Processor SoC (MPSoC), Network on Chip (NoC), and more. At the same time we have witnessed that performance does not always keep pace with expectations in many services like multimediaservices and biomedical applications. Moreover, the IT market has suffered from some crashes. Hence, this triggered us to think of making use of available technologies and developing new ones so that the performance level is suitable for given applications and services. In the medical field, from a statistical viewpoint, the biggest diseases in number of deaths are heart diseases, namely Cardiovascular Disease (CVD) and Stroke. The application with the largest market for CVD is the electrocardiogram (ECG/EKG) analysis. According to the World Health Organization (WHO) report in 2003, 29.2% of global deaths are due to CVD and Stroke, half of which could be prevented if there was proper monitoring. We found in the new advance in microelectronics, NoC, SoC, and MPSoC, a chance of a solution for such a big problem. We look at the communication technologies, wireless networks, and MPSoC and realize that many projects can be founded, and they may affect people's lives positively, as for example, curing people more rapidly, as well as homecare of such large scale diseases. These projects have a medical impact as well as economic and social impacts. The intention is to use performance analysis of interconnected microelectronic systems and combine it with MPSoC and NoC technologies in order to evolve to new systems on chip that may make a difference. Technically, we aim at rendering more computations in less time, on a chip with smaller volume, and with less expense. The performance demand and the vision of having a market success, i.e. contributing to lower healthcare costs, pose many challenges on the hardware/software co-design to meet these goals. This calls upon the development of new integrated circuits featuring increased energy efficiency while providing higher computation capabilities, i.e. better performance. The biomedical application of ECG analysis is an ideal target for an application-specific SoC implementation. However, new 12-lead ECG analyses algorithms are needed to meet the aforementioned goals. In this thesis, we present two novel algorithms for ECG analysis, namely the Autocorrelation-Function (ACF) based algorithm and the Fast Fourier Transform (FFT) based algorithm. In this respect, we explore the design space by analyzing different hardware and software architectures. As a result, we realize a design with twelve processors that can compute 3.5 million arithmetic computations and respect the real time hard deadline for our biomedical application (3.5-4seconds), and that can deploy the ACF-based and FFT-based algorithms. Then, we investigate the configuration space looking for the most effective solution, performance and energy-wise. Consequently, we present three interconnect architectures (Single Bus, Full Crossbar, and Partial Crossbar) and compare them with existing solutions. The sampling frequencies of 2.2 KHz and 4 KHz, with 12 DSPs, are found to be the critical points for our Shared-Bus design and Crossbar architecture, respectively. We also show how our performance analysis methods can be applied to such a field of SoC design and with a specific purpose application in order to converge to a solution that is acceptable from a performance viewpoint, meets the real-time demands, and can be implemented with the present technologies while at the same time paving the way for easier and faster development. In order to connect our MPSoC solution to communication networks to transmit the medical results to a healthcare center, we come up with new protocols that will allow the integration of multiple networks on chips in a communication network. Finally, we present a methodology for HW/SW Codesign for application-specific systems (with focus on biomedical applications) that require a large number of computations since this will foster the convergence to solutions that are acceptable from a performance point of view.
  •  
6.
  • Badlund, Per, et al. (creator_code:aut_t)
  • An analytical approach for dimensioning mixed traffic networks
  • 2007
  • record:In_t: NOCS 2007. - 9780769527734 ; , s. 215-215
  • swepub:Mat_conferencepaper_t (swepub:level_refereed_t)abstract
    • We present an analytical method for analyzing and dimensioning a network based communication architecture. The method is based on the classic (a, p) network calculus. We use a TDMA approach for creating logically separated networks which makes statistical methods possible for calculations on Best Effort traffic, and supports implementation of Guaranteed Bandwidth services by using Virtual Circuits with Looped Containers.
  •  
7.
  • Chen, Xiaowen, et al. (creator_code:aut_t)
  • Speedup Analysis of Data-parallel Applications on Multi-core NoCs
  • 2009
  • record:In_t: Proceedings of the IEEE International Conference on ASIC (ASICON). - 9781424438686 ; , s. 105-108
  • swepub:Mat_conferencepaper_t (swepub:level_refereed_t)abstract
    • As more computing cores are integrated onto a single chip, the effect of network communication latency is becoming more and more significant on Multi-core Network-onChips (NoCs). For data-parallel applications, we study the model ofparallel speedup by including network communication latency in Amdahl's law. The speedup analysis considers the effect of network topology, network size, traffic model and computation/communication ratio. We also study the speedup efficiency. In our Multi-core NoC platform, a real data-parallel application, i.e. matrix multiplication, is used to validate the analysis. Our theoretical analysis and the application results show that the speedup improvement is nonlinear and the speedup efficiency decreases as the system size is scaled up. Such analysis can be used to guide architects and programmers to improve parallel processing efficiency by reducing network latency with optimized network design and increasing computation proportion in the program.
  •  
8.
  •  
9.
  •  
10.
  • Grange, Matt, et al. (creator_code:aut_t)
  • Physical mapping and performance study of a multi-clock 3-Dimensional Network-on-Chip mesh
  • 2009
  • record:In_t: 2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION. - San Francisco : IEEE conference proceedings. - 9781424445110 ; , s. 345-351
  • swepub:Mat_conferencepaper_t (swepub:level_refereed_t)abstract
    • The physical performance of a 3-Dimensional Network-on-Chip (NoC) mesh architecture employing through silicon vias (TSV) for vertical connectivity is investigated with a cycle-accurate RTL simulator. The physical latency and area impact of TSVs, switches, and the on-chip interconnect is evaluated to extract the maximum signaling speeds through the switches, horizontal and vertical network links. The relatively low parasitics of TSVs compared to the on-chip 2-D interconnect allow for higher signaling speeds between chip layers. The system-level impact on overall network performance as a result of clocking vertical packets at a higher rate through the TSV interconnect is simulated and reported.
  •  
Skapa referenser, mejla, bekava och länka
  • navigation:Result_t 1-10 navigation:of_t 107
swepub:Mat_t
swepub:mat_conferencepaper_t (70)
swepub:mat_article_t (18)
swepub:mat_report_t (5)
swepub:mat_chapter_t (5)
swepub:mat_licentiatethesis_t (4)
swepub:mat_doctoralthesis_t (3)
deldatabas:search_more_t
swepub:mat_collectioneditorial_t (1)
swepub:mat_publicationother_t (1)
deldatabas:search_less_t
swepub:Level_t
swepub:level_refereed_t (87)
swepub:level_scientificother_t (20)
swepub:Hitlist_author_t
Lu, Zhonghai (40)
Sander, Ingo (19)
Liu, Ming (8)
Millberg, Mikael (7)
Nabiev, Rustam (6)
deldatabas:search_more_t
Tenhunen, Hannu (5)
Bertozzi, Davide (5)
Benini, Luca (5)
Zhu, Jun (5)
Al-Khatib, Iyad (4)
Poletti, Francesco (4)
Bechara, Mohamed (4)
Khalifeh, Hasan (4)
Zhou, Dian (4)
Wang, Qiang (3)
Zheng, Lirong (3)
Jonsson, Sven (3)
Xu, Hao (3)
Lang, Johannes (3)
Li, Lu (3)
Seceleanu, Tiberiu (3)
Jantsch, Axel, Profe ... (3)
Villar, Eugenio (3)
Grecu, Cristian (3)
Salminen, Erno (3)
Grimm, Christoph (3)
Henriksson, Tomas (3)
Bruce, Alistair (3)
Herrholz, Andreas (3)
Nebel, Wolfgang (3)
Eles, Petru (2)
Zheng, Li-Rong (2)
Weerasekera, Roshan (2)
Weldezion, Awet Yema ... (2)
Hajjar, Mazen (2)
Sander, Ingo, 1964- (2)
Chen, Xiaowen (2)
Wang, Weixing (2)
Pamunuwa, Dinesh (2)
Herrera, Fernando (2)
Grange, Matt (2)
Ivanov, Andre (2)
Pande, Partha (2)
Ogras, Umit (2)
Marculescu, Radu (2)
Shukla, Sandeep (2)
Wolf, Pieter van der (2)
Oppenheimer, Frank (2)
Schallenberg, Andrea ... (2)
deldatabas:search_less_t
swepub:Hitlist_uni_t
swepub_uni:kth_t (106)
swepub_uni:liu_t (1)
swepub_uni:hj_t (1)
hitlist:Language_t
language:Eng_t (107)
hitlist:HSV_t
hsv:Cat_2_t (70)
hsv:Cat_1_t (33)

hitlist:Year_t

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt tools:Close_t

tools:Permalink_label_t