SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "LAR1:liu ;pers:(Peng Zebo 1958)"

Sökning: LAR1:liu > Peng Zebo 1958

  • Resultat 1-10 av 167
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Aminifar, Amir, et al. (författare)
  • Control-Quality Driven Design of Embedded Control Systems with Stability Guarantees
  • 2018
  • Ingår i: IEEE Design and Test. - : IEEE. - 2168-2356 .- 2168-2364. ; 35:4, s. 38-46
  • Tidskriftsartikel (refereegranskat)abstract
    • Today, the majority of control applications in embedded systems, e.g., in the automotive domain, are implemented as software tasks on shared platforms. Ignoring implementation impacts during the design of embedded control systems results in complex timing behaviors that may lead to poor performance and, in the worst case, instability of control applications. This article presents a methodology for implementation-aware design of high-quality and stable embedded control systems on shared platforms with complex timing behaviors.
  •  
2.
  • Andrei, Alexandru, 1977-, et al. (författare)
  • Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems
  • 2004
  • Ingår i: Design, Automation and Test in Europe DATE 2004,2004. - Paris, France : IEEE Computer Society Press. - 0769520855 ; , s. 518-
  • Konferensbidrag (refereegranskat)abstract
    • Dynamic voltage scaling and adaptive body biasing have been shown to reduce dynamic and leakage power consumption effectively. In this paper, we optimally solve the combined supply voltage and body bias selection problem for multi-processor systems with imposed time constraints, explicitly taking into account the transition overheads implied by changing voltage levels. Both energy and time overheads are considered. We investigate the continuous voltage scaling as well as its discrete counterpart, and we prove NP-hardness in the discrete case. Furthermore, the continuous voltage scaling problem is formulated and solved using nonlinear programming with polynomial time complexity, while for the discrete problem we use mixed integer linear programming. Extensive experiments, conducted on several benchmarks and a real-life example, are used to validate the approaches.
  •  
3.
  • Andrei, Alexandru, 1977-, et al. (författare)
  • Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems
  • 2005
  • Ingår i: IEE Proceedings - Computers and digital Techniques. - : IEEE. - 1350-2387 .- 1359-7027. ; 152:01, s. 28-38
  • Tidskriftsartikel (refereegranskat)abstract
    • Dynamic voltage scaling and adaptive body biasing have been shown to reduce dynamic and leakage power consumption effectively. In this paper, we optimally solve the combined supply voltage and body bias selection problem for multi-processor systems with imposed time constraints, explicitly taking into account the transition overheads implied by changing voltage levels. Both energy and time overheads are considered. We investigate the continuous voltage scaling as well as its discrete counterpart, and we prove NP-hardness in the discrete case. Furthermore, the continuous voltage scaling problemis formulated and solved using nonlinear programming with polynomial time complexity, while for the discrete problem we use mixed integer linear programming. Extensive experiments, conducted on several benchmarks and a real-life example, are used to validate the approaches.
  •  
4.
  • Andrei, Alexandru, 1977-, et al. (författare)
  • Predictable Implementation of Real-Time Applications on Multiprocessor Systems on Chip
  • 2008
  • Ingår i: VLSI Design, 2008. VLSID 2008. - : IEEE Computer Society. - 0769530834 - 9780769530833 ; , s. 103-110
  • Konferensbidrag (refereegranskat)abstract
    • Worst-case execution time (WCET) analysis and, in general, the predictability of real-time applications implemented on multiprocessor systems has been addressed only in very restrictive and particular contexts. One important aspect that makes the analysis difficult is the estimation of the system-s communication behavior. The traffic on the bus does not solely originate from data transfers due to data dependencies between tasks, but is also affected by memory transfers as result of cache misses. As opposed to the analysis performed for a single processor system, where the cache miss penalty is constant, in a multiprocessor system each cache miss has a variable penalty, depending on the bus contention. This affects the tasks- WCET which, however, is needed in order to perform system scheduling. At the same time, the WCET depends on the system schedule due to the bus interference. In this context, we propose, for the first time, an approach to worst-case execution time analysis and system scheduling for real-time applications implemented on multiprocessor SoC architectures.
  •  
5.
  •  
6.
  • Andrei, Alexandru, 1977-, et al. (författare)
  • Simultaneous Communication and Processor Voltage Scaling for Dynamic and Leakage Energy Reduction in Time-Constrained Systems
  • 2004
  • Ingår i: International Conference on Computer Aided Design ICCAD 2004,2004. - San Jose, USA : IEEE Computer Society Press. - 0780387023 ; , s. 362-
  • Konferensbidrag (refereegranskat)abstract
    • In this paper, we propose a new technique for the combined voltage scaling of processors and communication links, taking into account dynamic as well as leakage power consumption. The voltage scaling technique achieves energy efficiency by simultaneously scaling the supply and body bias voltages in the case of processors and buses with repeaters, while energy efficiency on fat wires is achieved through dynamic voltage swing scaling. We also introduce a set of accurate communication models for the energy estimation of voltage scalable embedded systems. In particular, we demonstrate that voltage scaling of bus repeaters and dynamic adaption of the voltage swing on fat wires can significantly influence the system's energy consumption. Experimental results, conducted on numerous generated benchmarks and a real-life example, demonstrate that substantial energy savings can be achieved with the proposed techniques.
  •  
7.
  • Andrei, Alexandru, 1977-, et al. (författare)
  • Voltage Selection for Time-Constrained Multiprocessor Systems on Chip
  • 2007
  • Ingår i: Designing Embedded Processors: A Low Power Perspective. - Dordrecht : Springer. - 9781402058684 - 1402058683 ; , s. 259-286
  • Bokkapitel (övrigt vetenskapligt/konstnärligt)abstract
    • As we embrace the world of personal, portable, and perplexingly complex digital systems, it has befallen upon the bewildered designer to take advantage of the available transistors to produce a system which is small, fast, cheap and correct, yet possesses increased functionality. Increasingly, these systems have to consume little energy.Designers are increasingly turning towards small processors, which are low power, and customize these processors both in software and hardware to achieve their objectives of a low power system, which is verified, and has short design turnaround times. Designing Embedded Processors examines the many ways in which processor based systems are designed to allow low power devices.It looks at processor design methods, memory optimization, dynamic voltage scaling methods, compiler methods, and multi processor methods. Each section has an introductory chapter to give a breadth view, and have a few specialist chapters in the area to give a deeper perspective. The book provides a good starting point to engineers in the area, and to research students embarking upon the exciting area of embedded systems and architectures.
  •  
8.
  • Bao, Min, 2000-, et al. (författare)
  • Temperature-Aware Task Mapping for Energy Optimization with Dynamic Voltage Scaling
  • 2008
  • Ingår i: 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, 2008. - : IEEE Computer Society. - 9781424422760 ; , s. 44-49
  • Konferensbidrag (refereegranskat)abstract
    • Temperature has become an important issue in nowadays MPSoCs design due to the ever increasing power densities and huge energy consumption. This paper proposes a temperature-aware task mapping technique for energy optimization in systems with dynamic voltage selection capability. It evaluates the efficiency of this technique, based on the analysis of the factors that can influence the potential gains that can be expected from such a technique, compared to a task mapping approach that ignores temperature.
  •  
9.
  • Bao, Min, 2000-, et al. (författare)
  • Temperature-Aware Voltage Selection for Energy Optimization
  • 2008
  • Ingår i: Design, Automation and Test in Europe, 2008. - : IEEE. - 9783981080131 ; , s. 1083-1086
  • Konferensbidrag (refereegranskat)abstract
    • This paper proposes a temperature-aware dynamic voltage selection technique for energy minimization and presents a thorough analysis of the parameters that influence the potential gains that can be expected from such a technique, compared to a voltage selection approach that ignores temperature.
  •  
10.
  • Bengtsson, Tomas, et al. (författare)
  • Off-line Testing of Delay Faults in NoC Interconnects
  • 2006
  • Ingår i: 9th EUROMICRO Conference on Digital System Design. - : IEEE Computer Society. - 0769526098 ; , s. 677-680
  • Konferensbidrag (refereegranskat)abstract
    • Testing of high density SoCs operating at high clock speeds in an important but difficult problem. Many faults, like delay faults, in such sub-micron chips may only appear when the chip works at normal operating speed. In this paper, we propose a methodology for at-speed testing of delay faults in links connecting two distinct clock domains in a SoC. We give an analytical analysis about the efficiency of this method. We also propose a simple digital hardware structure for the receiver end of the link under test to detect delay faults. It is possible to extend our method to combine it with functional testing of the link and adapt it for on-line testing.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 167
Typ av publikation
konferensbidrag (106)
tidskriftsartikel (22)
rapport (14)
bokkapitel (12)
doktorsavhandling (6)
annan publikation (4)
visa fler...
bok (2)
licentiatavhandling (1)
visa färre...
Typ av innehåll
refereegranskat (126)
övrigt vetenskapligt/konstnärligt (37)
populärvet., debatt m.m. (4)
Författare/redaktör
Eles, Petru Ion, 195 ... (118)
Larsson, Erik, 1966- (27)
Pop, Paul, 1974- (25)
Cortes, Luis-Alejand ... (22)
Jervan, Gert, 1974- (22)
visa fler...
Ubar, Raimund (20)
Andrei, Alexandru, 1 ... (10)
Izosimov, Viacheslav ... (9)
Manolache, Sorin, 19 ... (9)
Samii, Soheil, 1981- (8)
Pop, Traian, 1975- (8)
Mohamed, Abdil, 1971 ... (7)
Karlsson, Daniel, 19 ... (7)
Larsson, Anders, 197 ... (7)
Pouget, Julien (7)
Al Hashimi, Bashir M ... (6)
Eles, Petru Ion, Pro ... (6)
Peng, Zebo, Professo ... (6)
He, Zhiyuan, 1976- (6)
Jenihhin, Maksim (5)
Schmitz, Marcus (5)
Pop, Paul (4)
Reorda, Matteo Sonza (4)
Violante, Massimo (4)
Zhou, Yuanbin, 1991- (4)
Aminifar, Amir (3)
Goloubeva, Olga (3)
Horga, Adrian, 1989- (3)
Ukhov, Ivan, 1986- (3)
Eles, Petru, 1954- (2)
Rosén, Jakob, 1977- (2)
Al-Hashimi, Bashir (2)
Bao, Min, 2000- (2)
Jutman, Artur (2)
Rezine, Ahmed, 1978- (2)
Mahfouzi, Rouhollah (2)
Schneider, André (2)
Ganjei, Zeinab, 1989 ... (2)
Rezine, Ahmed, Assoc ... (2)
Chakrabarty, Krishne ... (2)
Kruus, Helena (2)
Korelina, Olga (2)
Shchenova, Tatjana (2)
Jervan, Gert (2)
Diener, Karl-Heinz (2)
Hollstein, Thomas (2)
Marculescu, Diana (2)
Varea, Mauricio (2)
Zhou, Yuanbin (2)
visa färre...
Lärosäte
Linköpings universitet (167)
Kungliga Tekniska Högskolan (9)
Lunds universitet (2)
Jönköping University (1)
Språk
Engelska (166)
Svenska (1)
Forskningsämne (UKÄ/SCB)
Naturvetenskap (164)
Teknik (13)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy