SwePub
Tyck till om SwePub Sök här!
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Li Li) ;lar1:(miun)"

Sökning: WFRF:(Li Li) > Mittuniversitetet

  • Resultat 1-10 av 134
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Li, Junjie, et al. (författare)
  • A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm
  • 2020
  • Ingår i: Materials. - : MDPI AG. - 1996-1944 .- 1996-1944. ; 13:3
  • Tidskriftsartikel (refereegranskat)abstract
    • Semiconductor nanowires have great application prospects in field effect transistors and sensors. In this study, the process and challenges of manufacturing vertical SiGe/Si nanowire array by using the conventional lithography and novel dry atomic layer etching technology. The final results demonstrate that vertical nanowires with a diameter less than 20 nm can be obtained. The diameter of nanowires is adjustable with an accuracy error less than 0.3 nm. This technology provides a new way for advanced 3D transistors and sensors.
  •  
2.
  • Yin, X., et al. (författare)
  • Vertical Sandwich Gate-All-Around Field-Effect Transistors with Self-Aligned High-k Metal Gates and Small Effective-Gate-Length Variation
  • 2020
  • Ingår i: IEEE Electron Device Letters. - : Institute of Electrical and Electronics Engineers Inc.. - 0741-3106 .- 1558-0563. ; 41:1, s. 8-11
  • Tidskriftsartikel (refereegranskat)abstract
    • A new type of vertical nanowire (NW)/nanosheet (NS) field-effect transistors (FETs), termed vertical sandwich gate-all-around (GAA) FETs (VSAFETs), is presented in this work. Moreover, an integration flow that is compatible with processes used in the mainstream industry is proposed for the VSAFETs. Si/SiGe epitaxy, isotropic quasi-atomic-layer etching (qALE), and gate replacement were used to fabricate pVSAFETs for the first time. Vertical GAA FETs with self-aligned high-k metal gates and a small effective-gate-length variation were obtained. Isotropic qALE, including Si-selective etching of SiGe, was developed to control the diameter/thickness of the NW/NS channels. NWs with a diameter of 10 nm and NSs with a thickness of 20 nm were successfully fabricated, and good device characteristics were obtained. Finally, the device performance was investigated and is discussed in this work. © 2019 IEEE.
  •  
3.
  • Pecunia, Vincenzo, et al. (författare)
  • Roadmap on energy harvesting materials
  • 2023
  • Ingår i: Journal of Physics. - : IOP Publishing. - 2515-7639. ; 6:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Ambient energy harvesting has great potential to contribute to sustainable development and address growing environmental challenges. Converting waste energy from energy-intensive processes and systems (e.g. combustion engines and furnaces) is crucial to reducing their environmental impact and achieving net-zero emissions. Compact energy harvesters will also be key to powering the exponentially growing smart devices ecosystem that is part of the Internet of Things, thus enabling futuristic applications that can improve our quality of life (e.g. smart homes, smart cities, smart manufacturing, and smart healthcare). To achieve these goals, innovative materials are needed to efficiently convert ambient energy into electricity through various physical mechanisms, such as the photovoltaic effect, thermoelectricity, piezoelectricity, triboelectricity, and radiofrequency wireless power transfer. By bringing together the perspectives of experts in various types of energy harvesting materials, this Roadmap provides extensive insights into recent advances and present challenges in the field. Additionally, the Roadmap analyses the key performance metrics of these technologies in relation to their ultimate energy conversion limits. Building on these insights, the Roadmap outlines promising directions for future research to fully harness the potential of energy harvesting materials for green energy anytime, anywhere.
  •  
4.
  • Xie, L., et al. (författare)
  • Strained Si0.2ge0.8/ge multilayer stacks epitaxially grown on a low-/high-temperature ge buffer layer and selective wet-etching of germanium
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:9, s. 1-12
  • Tidskriftsartikel (refereegranskat)abstract
    • With the development of new designs and materials for nano-scale transistors, vertical Gate-All-Around Field Effect Transistors (vGAAFETs) with germanium as channel materials have emerged as excellent choices. The driving forces for this choice are the full control of the short channel effect and the high carrier mobility in the channel region. In this work, a novel process to form the structure for a VGAA transistor with a Ge channel is presented. The structure consists of multilayers of Si0.2Ge0.8/Ge grown on a Ge buffer layer grown by the reduced pressure chemical vapor deposition technique. The Ge buffer layer growth consists of low-temperature growth at 400 °C and high-temperature growth at 650 °C. The impact of the epitaxial quality of the Ge buffer on the defect density in the Si0.2Ge0.8/Ge stack has been studied. In this part, different thicknesses (0.6, 1.2 and 2.0 µm) of the Ge buffer on the quality of the Si0.2Ge0.8/Ge stack structure have been investigated. The thicker Ge buffer layer can improve surface roughness. A high-quality and atomically smooth surface with RMS 0.73 nm of the Si0.2Ge0.8/Ge stack structure can be successfully realized on the 1.2 µm Ge buffer layer. After the epitaxy step, the multilayer is vertically dry-etched to form a fin where the Ge channel is selectively released to SiGe by using wet-etching in HNO3 and H2O2 solution at room temperature. It has been found that the solution concentration has a great effect on the etch rate. The relative etching depth of Ge is linearly dependent on the etching time in H2O2 solution. The results of this study emphasize the selective etching of germanium and provide the experimental basis for the release of germanium channels in the future. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
5.
  • Li, C., et al. (författare)
  • Selective digital etching of silicon-germanium using nitric and hydrofluoric acids
  • 2020
  • Ingår i: ACS Applied Materials and Interfaces. - : American Chemical Society. - 1944-8244 .- 1944-8252. ; 12:42, s. 48170-48178
  • Tidskriftsartikel (refereegranskat)abstract
    • A digital etching method was proposed to achieve excellent control of etching depth. The digital etching characteristics of p+-Si and Si0.7Ge0.3 using a combination of HNO3 oxidation and buffered oxide etching oxide removal processes were investigated. Experimental results showed that oxidation saturates as time goes on because of low activation energy and its diffusion-limited characteristic. An oxidation model was developed to describe the wet oxidation process with nitric acid. The model was calibrated with experimental data, and the oxidation saturation time, final oxide thickness, and selectivity between Si0.7Ge0.3 and p+-Si were obtained. In Si0.7Ge0.3/p+-Si stacks, the saturated relative etched depth per cycle was 0.5 nm (four monolayers), and variation between experiments was about 4% after saturation. A corrected selectivity calculation formula was also proposed, and the calculated selectivity was 3.7-7.7 for different oxidation times, which was the same as the selectivity obtained from our oxidation model. The proposed model can be used to analyze process variations and repeatability, and it can provide credible guidance for the design of other wet digital etching experiments. © 2020 American Chemical Society.
  •  
6.
  • Li, Jiantong, et al. (författare)
  • Ink-jet printed thin-film transistors with carbon nanotube channels shaped in long strips
  • 2011
  • Ingår i: Journal of Applied Physics. - : AIP Publishing. - 0021-8979 .- 1089-7550. ; 109:8, s. 084915-
  • Tidskriftsartikel (refereegranskat)abstract
    • The present work reports on the development of a class of sophisticated thin-film transistors (TFTs) based on ink-jet printing of pristine single-walled carbon nanotubes (SWCNTs) for the channel formation. The transistors are manufactured on oxidized silicon wafers and flexible plastic substrates at ambient conditions. For this purpose, ink-jet printing techniques are developed with the aim of high-throughput production of SWCNT thin-film channels shaped in long strips. Stable SWCNT inks with proper fluidic characteristics are formulated by polymer addition. The present work unveils, through Monte Carlo simulations and in light of heterogeneous percolation, the underlying physics of the superiority of long-strip channels for SWCNT TFTs. It further predicts the compatibility of such a channel structure with ink-jet printing, taking into account the minimum dimensions achievable by commercially available printers. The printed devices exhibit improved electrical performance and scalability as compared to previously reported ink-jet printed SWCNT TFTs. The present work demonstrates that ink-jet printed SWCNT TFTs of long-strip channels are promising building blocks for flexible electronics.
  •  
7.
  • Li, J., et al. (författare)
  • Study of selective isotropic etching Si1−xGex in process of nanowire transistors
  • 2020
  • Ingår i: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 31:1, s. 134-143
  • Tidskriftsartikel (refereegranskat)abstract
    • On approach towards the end of technology roadmap, a revolutionary approach towards the nanowire transistors is favorable due to the full control of carrier transport. The transistor design moves toward vertically or laterally stacked Gate-All-Around (GAA) where Si or SiGe can be used as channel material. This study presents a novel isotropic inductively coupled plasma (ICP) dry etching of Si1−xGex (0.10 ≤ x ≤ 0.28) in SiGe/Si multilayer structures (MLSs) with high selectivity to Si, SiO2, Si3N4 and SiON which can be applied in advanced 3D transistors and Micro-Electro-Mechanical System (MEMS) in future. The profile of SiGe etching for different thicknesses, compositions and locations in MLSs using dry or wet etch have been studied. A special care has been spent for layer quality of Si, strain relaxation of SiGe layers as well as residual contamination during the etching. In difference with dry etching methods (downstream remote plasma), the conventional ICP source in situ is used where CF4/O2/He gas mixture was used as the etching gas to obtain higher selectivity. Based on the reliability of ICP technique a range of etching rate 25–50 nm/min can be obtained for accurate isotropic etching of Si1−xGex, to form cavity in advanced 3D transistor processes in future.
  •  
8.
  • Li, J., et al. (författare)
  • Study of silicon nitride inner spacer formation in process of gate-all-around nano-transistors
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Stacked SiGe/Si structures are widely used as the units for gate-all-around nanowire transistors (GAA NWTs) which are a promising candidate beyond fin field effective transistors (FinFETs) technologies in near future. These structures deal with a several challenges brought by the shrinking of device dimensions. The preparation of inner spacers is one of the most critical processes for GAA nano-scale transistors. This study focuses on two key processes: Inner spacer film conformal deposition and accurate etching. The results show that low pressure chemical vapor deposition (LPCVD) silicon nitride has a good film filling effect; a precise and controllable silicon nitride inner spacer structure is prepared by using an inductively coupled plasma (ICP) tool and a new gas mixtures of CH2F2/CH4/O2/Ar. Silicon nitride inner spacer etch has a high etch selectivity ratio, exceeding 100:1 to Si and more than 30:1 to SiO2. High anisotropy with an excellent vertical/lateral etch ratio exceeding 80:1 is successfully demonstrated. It also provides a solution to the key process challenges of nano-transistors beyond 5 nm node. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
9.
  • Naghavi, Mohsen, et al. (författare)
  • Global, regional, and national age-sex specific all-cause and cause-specific mortality for 240 causes of death, 1990-2013: a systematic analysis for the Global Burden of Disease Study 2013
  • 2015
  • Ingår i: The Lancet. - 1474-547X .- 0140-6736. ; 385:9963, s. 117-171
  • Tidskriftsartikel (refereegranskat)abstract
    • Background Up-to-date evidence on levels and trends for age-sex-specifi c all-cause and cause-specifi c mortality is essential for the formation of global, regional, and national health policies. In the Global Burden of Disease Study 2013 (GBD 2013) we estimated yearly deaths for 188 countries between 1990, and 2013. We used the results to assess whether there is epidemiological convergence across countries. Methods We estimated age-sex-specifi c all-cause mortality using the GBD 2010 methods with some refinements to improve accuracy applied to an updated database of vital registration, survey, and census data. We generally estimated cause of death as in the GBD 2010. Key improvements included the addition of more recent vital registration data for 72 countries, an updated verbal autopsy literature review, two new and detailed data systems for China, and more detail for Mexico, UK, Turkey, and Russia. We improved statistical models for garbage code redistribution. We used six different modelling strategies across the 240 causes; cause of death ensemble modelling (CODEm) was the dominant strategy for causes with sufficient information. Trends for Alzheimer's disease and other dementias were informed by meta-regression of prevalence studies. For pathogen-specifi c causes of diarrhoea and lower respiratory infections we used a counterfactual approach. We computed two measures of convergence (inequality) across countries: the average relative difference across all pairs of countries (Gini coefficient) and the average absolute difference across countries. To summarise broad findings, we used multiple decrement life-tables to decompose probabilities of death from birth to exact age 15 years, from exact age 15 years to exact age 50 years, and from exact age 50 years to exact age 75 years, and life expectancy at birth into major causes. For all quantities reported, we computed 95% uncertainty intervals (UIs). We constrained cause-specific fractions within each age-sex-country-year group to sum to all-cause mortality based on draws from the uncertainty distributions. Findings Global life expectancy for both sexes increased from 65.3 years (UI 65.0-65.6) in 1990, to 71.5 years (UI 71.0-71.9) in 2013, while the number of deaths increased from 47.5 million (UI 46.8-48.2) to 54.9 million (UI 53.6-56.3) over the same interval. Global progress masked variation by age and sex: for children, average absolute diff erences between countries decreased but relative diff erences increased. For women aged 25-39 years and older than 75 years and for men aged 20-49 years and 65 years and older, both absolute and relative diff erences increased. Decomposition of global and regional life expectancy showed the prominent role of reductions in age-standardised death rates for cardiovascular diseases and cancers in high-income regions, and reductions in child deaths from diarrhoea, lower respiratory infections, and neonatal causes in low-income regions. HIV/AIDS reduced life expectancy in southern sub-Saharan Africa. For most communicable causes of death both numbers of deaths and age-standardised death rates fell whereas for most non-communicable causes, demographic shifts have increased numbers of deaths but decreased age-standardised death rates. Global deaths from injury increased by 10.7%, from 4.3 million deaths in 1990 to 4.8 million in 2013; but age-standardised rates declined over the same period by 21%. For some causes of more than 100 000 deaths per year in 2013, age-standardised death rates increased between 1990 and 2013, including HIV/AIDS, pancreatic cancer, atrial fibrillation and flutter, drug use disorders, diabetes, chronic kidney disease, and sickle-cell anaemias. Diarrhoeal diseases, lower respiratory infections, neonatal causes, and malaria are still in the top five causes of death in children younger than 5 years. The most important pathogens are rotavirus for diarrhoea and pneumococcus for lower respiratory infections. Country-specific probabilities of death over three phases of life were substantially varied between and within regions. Interpretation For most countries, the general pattern of reductions in age-sex specifi c mortality has been associated with a progressive shift towards a larger share of the remaining deaths caused by non-communicable disease and injuries. Assessing epidemiological convergence across countries depends on whether an absolute or relative measure of inequality is used. Nevertheless, age-standardised death rates for seven substantial causes are increasing, suggesting the potential for reversals in some countries. Important gaps exist in the empirical data for cause of death estimates for some countries; for example, no national data for India are available for the past decade.
  •  
10.
  • Vos, Theo, et al. (författare)
  • Global, regional, and national incidence, prevalence, and years lived with disability for 301 acute and chronic diseases and injuries in 188 countries, 1990-2013: a systematic analysis for the Global Burden of Disease Study 2013
  • 2015
  • Ingår i: The Lancet. - 1474-547X .- 0140-6736. ; 386:9995, s. 743-800
  • Tidskriftsartikel (refereegranskat)abstract
    • Background Up-to-date evidence about levels and trends in disease and injury incidence, prevalence, and years lived with disability (YLDs) is an essential input into global, regional, and national health policies. In the Global Burden of Disease Study 2013 (GBD 2013), we estimated these quantities for acute and chronic diseases and injuries for 188 countries between 1990 and 2013. Methods Estimates were calculated for disease and injury incidence, prevalence, and YLDs using GBD 2010 methods with some important refinements. Results for incidence of acute disorders and prevalence of chronic disorders are new additions to the analysis. Key improvements include expansion to the cause and sequelae list, updated systematic reviews, use of detailed injury codes, improvements to the Bayesian meta-regression method (DisMod-MR), and use of severity splits for various causes. An index of data representativeness, showing data availability, was calculated for each cause and impairment during three periods globally and at the country level for 2013. In total, 35 620 distinct sources of data were used and documented to calculated estimates for 301 diseases and injuries and 2337 sequelae. The comorbidity simulation provides estimates for the number of sequelae, concurrently, by individuals by country, year, age, and sex. Disability weights were updated with the addition of new population-based survey data from four countries. Findings Disease and injury were highly prevalent; only a small fraction of individuals had no sequelae. Comorbidity rose substantially with age and in absolute terms from 1990 to 2013. Incidence of acute sequelae were predominantly infectious diseases and short-term injuries, with over 2 billion cases of upper respiratory infections and diarrhoeal disease episodes in 2013, with the notable exception of tooth pain due to permanent caries with more than 200 million incident cases in 2013. Conversely, leading chronic sequelae were largely attributable to non-communicable diseases, with prevalence estimates for asymptomatic permanent caries and tension-type headache of 2.4 billion and 1.6 billion, respectively. The distribution of the number of sequelae in populations varied widely across regions, with an expected relation between age and disease prevalence. YLDs for both sexes increased from 537.6 million in 1990 to 764.8 million in 2013 due to population growth and ageing, whereas the age-standardised rate decreased little from 114.87 per 1000 people to 110.31 per 1000 people between 1990 and 2013. Leading causes of YLDs included low back pain and major depressive disorder among the top ten causes of YLDs in every country. YLD rates per person, by major cause groups, indicated the main drivers of increases were due to musculoskeletal, mental, and substance use disorders, neurological disorders, and chronic respiratory diseases; however HIV/AIDS was a notable driver of increasing YLDs in sub-Saharan Africa. Also, the proportion of disability-adjusted life years due to YLDs increased globally from 21.1% in 1990 to 31.2% in 2013. Interpretation Ageing of the world's population is leading to a substantial increase in the numbers of individuals with sequelae of diseases and injuries. Rates of YLDs are declining much more slowly than mortality rates. The non-fatal dimensions of disease and injury will require more and more attention from health systems. The transition to non-fatal outcomes as the dominant source of burden of disease is occurring rapidly outside of sub-Saharan Africa. Our results can guide future health initiatives through examination of epidemiological trends and a better understanding of variation across countries.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 134
Typ av publikation
tidskriftsartikel (87)
konferensbidrag (25)
forskningsöversikt (7)
annan publikation (4)
doktorsavhandling (4)
licentiatavhandling (4)
visa fler...
rapport (2)
konstnärligt arbete (1)
bokkapitel (1)
visa färre...
Typ av innehåll
refereegranskat (118)
övrigt vetenskapligt/konstnärligt (14)
populärvet., debatt m.m. (1)
Författare/redaktör
Radamson, Henry H. (23)
Sjöström, Mårten, 19 ... (14)
Sidén, Johan, 1975- (12)
Li, Xiaotian (12)
Li, Y. (10)
Li, J. (10)
visa fler...
Wang, G (10)
Olsson, Roger, 1973- (8)
Zhu, H. (7)
Li, C. (7)
Andersson, Henrik, D ... (7)
Yin, X. (7)
Yang, H. (6)
Zhang, Y. (6)
Larsson, Anders (6)
Venketasubramanian, ... (6)
Bäckvall, Jan-E. (6)
Faro, A (5)
Fischer, F (5)
Hamidi, S (5)
Majeed, A (5)
Malekzadeh, R (5)
Meretoja, A (5)
Mohammed, S (5)
Nangia, V (5)
Yonemoto, N (5)
Hankey, Graeme J. (5)
Dalal, Koustuv (5)
Lin, H (5)
Petzold, Max, 1973 (5)
Cooper, Cyrus (5)
Dandona, Lalit (5)
Dandona, Rakhi (5)
Feigin, Valery L. (5)
Jonas, Jost B. (5)
Malekzadeh, Reza (5)
Mokdad, Ali H. (5)
Naghavi, Mohsen (5)
Sepanlou, Sadaf G. (5)
Yonemoto, Naohiro (5)
Yu, Chuanhua (5)
Santos, Itamar S. (5)
Olsson, Roger (5)
Xiong, Wenjuan (5)
Zhang, Renyun (5)
Rodriguez, Alina (5)
Thies-Lagergren, Li (5)
Rahimi-Movaghar, V (5)
Sjöström, Mårten (5)
Abd-Allah, Foad (5)
visa färre...
Lärosäte
Karolinska Institutet (15)
Uppsala universitet (13)
Lunds universitet (12)
Kungliga Tekniska Högskolan (9)
Stockholms universitet (9)
visa fler...
Göteborgs universitet (6)
RISE (5)
Högskolan Dalarna (5)
Örebro universitet (4)
Linköpings universitet (2)
Högskolan i Skövde (2)
Chalmers tekniska högskola (2)
Mälardalens universitet (1)
Linnéuniversitetet (1)
Karlstads universitet (1)
Blekinge Tekniska Högskola (1)
Sophiahemmet Högskola (1)
visa färre...
Språk
Engelska (133)
Svenska (1)
Forskningsämne (UKÄ/SCB)
Teknik (57)
Naturvetenskap (47)
Medicin och hälsovetenskap (20)
Samhällsvetenskap (5)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy