SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Lin J) ;lar1:(miun)"

Sökning: WFRF:(Lin J) > Mittuniversitetet

  • Resultat 1-10 av 10
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Vos, Theo, et al. (författare)
  • Global, regional, and national incidence, prevalence, and years lived with disability for 301 acute and chronic diseases and injuries in 188 countries, 1990-2013: a systematic analysis for the Global Burden of Disease Study 2013
  • 2015
  • Ingår i: The Lancet. - 1474-547X .- 0140-6736. ; 386:9995, s. 743-800
  • Tidskriftsartikel (refereegranskat)abstract
    • Background Up-to-date evidence about levels and trends in disease and injury incidence, prevalence, and years lived with disability (YLDs) is an essential input into global, regional, and national health policies. In the Global Burden of Disease Study 2013 (GBD 2013), we estimated these quantities for acute and chronic diseases and injuries for 188 countries between 1990 and 2013. Methods Estimates were calculated for disease and injury incidence, prevalence, and YLDs using GBD 2010 methods with some important refinements. Results for incidence of acute disorders and prevalence of chronic disorders are new additions to the analysis. Key improvements include expansion to the cause and sequelae list, updated systematic reviews, use of detailed injury codes, improvements to the Bayesian meta-regression method (DisMod-MR), and use of severity splits for various causes. An index of data representativeness, showing data availability, was calculated for each cause and impairment during three periods globally and at the country level for 2013. In total, 35 620 distinct sources of data were used and documented to calculated estimates for 301 diseases and injuries and 2337 sequelae. The comorbidity simulation provides estimates for the number of sequelae, concurrently, by individuals by country, year, age, and sex. Disability weights were updated with the addition of new population-based survey data from four countries. Findings Disease and injury were highly prevalent; only a small fraction of individuals had no sequelae. Comorbidity rose substantially with age and in absolute terms from 1990 to 2013. Incidence of acute sequelae were predominantly infectious diseases and short-term injuries, with over 2 billion cases of upper respiratory infections and diarrhoeal disease episodes in 2013, with the notable exception of tooth pain due to permanent caries with more than 200 million incident cases in 2013. Conversely, leading chronic sequelae were largely attributable to non-communicable diseases, with prevalence estimates for asymptomatic permanent caries and tension-type headache of 2.4 billion and 1.6 billion, respectively. The distribution of the number of sequelae in populations varied widely across regions, with an expected relation between age and disease prevalence. YLDs for both sexes increased from 537.6 million in 1990 to 764.8 million in 2013 due to population growth and ageing, whereas the age-standardised rate decreased little from 114.87 per 1000 people to 110.31 per 1000 people between 1990 and 2013. Leading causes of YLDs included low back pain and major depressive disorder among the top ten causes of YLDs in every country. YLD rates per person, by major cause groups, indicated the main drivers of increases were due to musculoskeletal, mental, and substance use disorders, neurological disorders, and chronic respiratory diseases; however HIV/AIDS was a notable driver of increasing YLDs in sub-Saharan Africa. Also, the proportion of disability-adjusted life years due to YLDs increased globally from 21.1% in 1990 to 31.2% in 2013. Interpretation Ageing of the world's population is leading to a substantial increase in the numbers of individuals with sequelae of diseases and injuries. Rates of YLDs are declining much more slowly than mortality rates. The non-fatal dimensions of disease and injury will require more and more attention from health systems. The transition to non-fatal outcomes as the dominant source of burden of disease is occurring rapidly outside of sub-Saharan Africa. Our results can guide future health initiatives through examination of epidemiological trends and a better understanding of variation across countries.
  •  
2.
  • Feigin, Valery L., et al. (författare)
  • Global, regional, and national burden of stroke and its risk factors, 1990-2019 : a systematic analysis for the Global Burden of Disease Study 2019
  • 2021
  • Ingår i: Lancet Neurology. - : Elsevier. - 1474-4422 .- 1474-4465. ; 20:10, s. 795-820
  • Tidskriftsartikel (refereegranskat)abstract
    • Background Regularly updated data on stroke and its pathological types, including data on their incidence, prevalence, mortality, disability, risk factors, and epidemiological trends, are important for evidence-based stroke care planning and resource allocation. The Global Burden of Diseases, Injuries, and Risk Factors Study (GBD) aims to provide a standardised and comprehensive measurement of these metrics at global, regional, and national levels. Methods We applied GBD 2019 analytical tools to calculate stroke incidence, prevalence, mortality, disability-adjusted life-years (DALYs), and the population attributable fraction (PAF) of DALYs (with corresponding 95% uncertainty intervals [UIs]) associated with 19 risk factors, for 204 countries and territories from 1990 to 2019. These estimates were provided for ischaemic stroke, intracerebral haemorrhage, subarachnoid haemorrhage, and all strokes combined, and stratified by sex, age group, and World Bank country income level. Findings In 2019, there were 12.2 million (95% UI 11.0-13.6) incident cases of stroke, 101 million (93.2-111) prevalent cases of stroke, 143 million (133-153) DALYs due to stroke, and 6.55 million (6.00-7.02) deaths from stroke. Globally, stroke remained the second-leading cause of death (11.6% [10.8-12.2] of total deaths) and the third-leading cause of death and disability combined (5.7% [5.1-6.2] of total DALYs) in 2019. From 1990 to 2019, the absolute number of incident strokes increased by 70.0% (67.0-73.0), prevalent strokes increased by 85.0% (83.0-88.0), deaths from stroke increased by 43.0% (31.0-55.0), and DALYs due to stroke increased by 32.0% (22.0-42.0). During the same period, age-standardised rates of stroke incidence decreased by 17.0% (15.0-18.0), mortality decreased by 36.0% (31.0-42.0), prevalence decreased by 6.0% (5.0-7.0), and DALYs decreased by 36.0% (31.0-42.0). However, among people younger than 70 years, prevalence rates increased by 22.0% (21.0-24.0) and incidence rates increased by 15.0% (12.0-18.0). In 2019, the age-standardised stroke-related mortality rate was 3.6 (3.5-3.8) times higher in the World Bank low-income group than in the World Bank high-income group, and the age-standardised stroke-related DALY rate was 3.7 (3.5-3.9) times higher in the low-income group than the high-income group. Ischaemic stroke constituted 62.4% of all incident strokes in 2019 (7.63 million [6.57-8.96]), while intracerebral haemorrhage constituted 27.9% (3.41 million [2.97-3.91]) and subarachnoid haemorrhage constituted 9.7% (1.18 million [1.01-1.39]). In 2019, the five leading risk factors for stroke were high systolic blood pressure (contributing to 79.6 million [67.7-90.8] DALYs or 55.5% [48.2-62.0] of total stroke DALYs), high body-mass index (34.9 million [22.3-48.6] DALYs or 24.3% [15.7-33.2]), high fasting plasma glucose (28.9 million [19.8-41.5] DALYs or 20.2% [13.8-29.1]), ambient particulate matter pollution (28.7 million [23.4-33.4] DALYs or 20.1% [16.6-23.0]), and smoking (25.3 million [22.6-28.2] DALYs or 17.6% [16.4-19.0]). Interpretation The annual number of strokes and deaths due to stroke increased substantially from 1990 to 2019, despite substantial reductions in age-standardised rates, particularly among people older than 70 years. The highest age-standardised stroke-related mortality and DALY rates were in the World Bank low-income group. The fastest-growing risk factor for stroke between 1990 and 2019 was high body-mass index. Without urgent implementation of effective primary prevention strategies, the stroke burden will probably continue to grow across the world, particularly in low-income countries.
  •  
3.
  • Li, J., et al. (författare)
  • Study of silicon nitride inner spacer formation in process of gate-all-around nano-transistors
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Stacked SiGe/Si structures are widely used as the units for gate-all-around nanowire transistors (GAA NWTs) which are a promising candidate beyond fin field effective transistors (FinFETs) technologies in near future. These structures deal with a several challenges brought by the shrinking of device dimensions. The preparation of inner spacers is one of the most critical processes for GAA nano-scale transistors. This study focuses on two key processes: Inner spacer film conformal deposition and accurate etching. The results show that low pressure chemical vapor deposition (LPCVD) silicon nitride has a good film filling effect; a precise and controllable silicon nitride inner spacer structure is prepared by using an inductively coupled plasma (ICP) tool and a new gas mixtures of CH2F2/CH4/O2/Ar. Silicon nitride inner spacer etch has a high etch selectivity ratio, exceeding 100:1 to Si and more than 30:1 to SiO2. High anisotropy with an excellent vertical/lateral etch ratio exceeding 80:1 is successfully demonstrated. It also provides a solution to the key process challenges of nano-transistors beyond 5 nm node. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
4.
  • Du, Y., et al. (författare)
  • Strain modulation of selectively and/or globally grown ge layers
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:6
  • Tidskriftsartikel (refereegranskat)abstract
    • This article presents a novel method to grow a high-quality compressive-strain Ge epilayer on Si using the selective epitaxial growth (SEG) applying the RPCVD technique. The procedures are composed of a global growth of Ge layer on Si followed by a planarization using CMP as initial process steps. The growth parameters of the Ge layer were carefully optimized and after cycle-annealing treatments, the threading dislocation density (TDD) was reduced to 3 × 107 cm−2 . As a result of this process, a tensile strain of 0.25% was induced, whereas the RMS value was as low as 0.81 nm. Later, these substrates were covered by an oxide layer and patterned to create trenches for selective epitaxy growth (SEG) of the Ge layer. In these structures, a type of compressive strain was formed in the SEG Ge top layer. The strain amount was −0.34%; meanwhile, the TDD and RMS surface roughness were 2 × 106 cm−2 and 0.68 nm, respectively. HRXRD and TEM results also verified the existence of compressive strain in selectively grown Ge layer. In contrast to the tensile strained Ge layer (globally grown), enhanced PL intensity by a factor of more than 2 is partially due to the improved material quality. The significantly high PL intensity is attributed to the improved crystalline quality of the selectively grown Ge layer. The change in direct bandgap energy of PL was observed, owing to the compressive strain introduced. Hall measurement shows that a selectively grown Ge layer possesses room temperature hole mobility up to 375 cm2/Vs, which is approximately 3 times larger than that of the Ge (132 cm2/Vs). Our work offers fundamental guidance for the growth of high-quality and compressive strain Ge epilayer on Si for future Ge-based optoelectronics integration applications.
  •  
5.
  • Kong, Z., et al. (författare)
  • Growth and Strain Modulation of GeSn Alloys for Photonic and Electronic Applications
  • 2022
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 12:6
  • Tidskriftsartikel (refereegranskat)abstract
    • GeSn materials have attracted considerable attention for their tunable band structures and high carrier mobilities, which serve well for future photonic and electronic applications. This research presents a novel method to incorporate Sn content as high as 18% into GeSn layers grown at 285–320◦C by using SnCl4 and GeH4 precursors. A series of characterizations were performed to study the material quality, strain, surface roughness, and optical properties of GeSn layers. The Sn content could be calculated using lattice mismatch parameters provided by X-ray analysis. The strain in GeSn layers was modulated from fully strained to partially strained by etching Ge buffer into Ge/GeSn heterostructures . In this study, two categories of samples were prepared when the Ge buffer was either laterally etched onto Si wafers, or vertically etched Ge/GeSnOI wafers which bonded to the oxide. In the latter case, the Ge buffer was initially etched step-by-step for the strain relaxation study. Meanwhile, the Ge/GeSn heterostructure in the first group of samples was patterned into the form of micro-disks. The Ge buffer was selectively etched by using a CF4/O2 gas mixture using a plasma etch tool. Fully or partially relaxed GeSn micro-disks showed photoluminescence (PL) at room temperature. PL results showed that red-shift was clearly observed from the GeSn microdisk structure, indicating that the compressive strain in the as-grown GeSn material was partially released. Our results pave the path for the growth of high quality GeSn layers with high Sn content, in addition to methods for modulating the strain for lasing and detection of short-wavelength infrared at room temperature. 
  •  
6.
  • Pecunia, Vincenzo, et al. (författare)
  • Roadmap on energy harvesting materials
  • 2023
  • Ingår i: Journal of Physics. - : IOP Publishing. - 2515-7639. ; 6:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Ambient energy harvesting has great potential to contribute to sustainable development and address growing environmental challenges. Converting waste energy from energy-intensive processes and systems (e.g. combustion engines and furnaces) is crucial to reducing their environmental impact and achieving net-zero emissions. Compact energy harvesters will also be key to powering the exponentially growing smart devices ecosystem that is part of the Internet of Things, thus enabling futuristic applications that can improve our quality of life (e.g. smart homes, smart cities, smart manufacturing, and smart healthcare). To achieve these goals, innovative materials are needed to efficiently convert ambient energy into electricity through various physical mechanisms, such as the photovoltaic effect, thermoelectricity, piezoelectricity, triboelectricity, and radiofrequency wireless power transfer. By bringing together the perspectives of experts in various types of energy harvesting materials, this Roadmap provides extensive insights into recent advances and present challenges in the field. Additionally, the Roadmap analyses the key performance metrics of these technologies in relation to their ultimate energy conversion limits. Building on these insights, the Roadmap outlines promising directions for future research to fully harness the potential of energy harvesting materials for green energy anytime, anywhere.
  •  
7.
  • Miao, Y., et al. (författare)
  • Review of Si-based GeSn CVD growth and optoelectronic applications
  • 2021
  • Ingår i: Nanomaterials. - : MDPI. - 2079-4991. ; 11:10
  • Tidskriftsartikel (refereegranskat)abstract
    • GeSn alloys have already attracted extensive attention due to their excellent properties and wide-ranging electronic and optoelectronic applications. Both theoretical and experimental results have shown that direct bandgap GeSn alloys are preferable for Si-based, high-efficiency light source applications. For the abovementioned purposes, molecular beam epitaxy (MBE), physical vapour deposition (PVD), and chemical vapor deposition (CVD) technologies have been extensively explored to grow high-quality GeSn alloys. However, CVD is the dominant growth method in the industry, and it is therefore more easily transferred. This review is focused on the recent progress in GeSn CVD growth (including ion implantation, in situ doping technology, and ohmic contacts), GeSn detectors, GeSn lasers, and GeSn transistors. These review results will provide huge advancements for the research and development of high-performance electronic and optoelectronic devices. © 2021 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
8.
  • Zhao, X., et al. (författare)
  • High performance p-i-n photodetectors on ge-on-insulator platform
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:5
  • Tidskriftsartikel (refereegranskat)abstract
    • In this article, we demonstrated novel methods to improve the performance of p-i-n photodetectors (PDs) on a germanium-on-insulator (GOI). For GOI photodetectors with a mesa diameter of 10 µm, the dark current at −1 V is 2.5 nA, which is 2.6-fold lower than that of the Ge PD processed on Si substrates. This improvement in dark current is due to the careful removal of the defected Ge layer, which is formed with the initial growth of Ge on Si. The bulk leakage current density and surface leakage density of the GOI detector at −1 V are as low as 1.79 mA/cm2 and 0.34 µA/cm, respectively. GOI photodetectors with responsivity of 0.5 and 0.9 A/W at 1550 and 1310 nm wavelength are demonstrated. The optical performance of the GOI photodetector could be remarkably improved by integrating a tetraethylorthosilicate (TEOS) layer on the oxide side due to the better optical confinement and resonant cavity effect. These PDs with high performances and full compatibility with Si CMOS processes are attractive for applications in both telecommunications and monolithic optoelectronics integration on the same chip.
  •  
9.
  •  
10.
  • Li, C., et al. (författare)
  • Growth and Selective Etch of Phosphorus-Doped Silicon/Silicon–Germanium Multilayers Structures for Vertical Transistors Application
  • 2020
  • Ingår i: Nanoscale Research Letters. - : Springer. - 1931-7573 .- 1556-276X. ; 15:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Vertical gate-all-around field-effect transistors (vGAAFETs) are considered as the potential candidates to replace FinFETs for advanced integrated circuit manufacturing technology at/beyond 3-nm technology node. A multilayer (ML) of Si/SiGe/Si is commonly grown and processed to form vertical transistors. In this work, the P-incorporation in Si/SiGe/Si and vertical etching of these MLs followed by selective etching SiGe in lateral direction to form structures for vGAAFET have been studied. Several strategies were proposed for the epitaxy such as hydrogen purging to deplete the access of P atoms on Si surface, and/or inserting a Si or Si0.93Ge0.07 spacers on both sides of P-doped Si layers, and substituting SiH4 by SiH2Cl2 (DCS). Experimental results showed that the segregation and auto-doping could also be relieved by adding 7% Ge to P-doped Si. The structure had good lattice quality and almost had no strain relaxation. The selective etching between P-doped Si (or P-doped Si0.93Ge0.07) and SiGe was also discussed by using wet and dry etching. The performance and selectivity of different etching methods were also compared. This paper provides knowledge of how to deal with the challenges or difficulties of epitaxy and etching of n-type layers in vertical GAAFETs structure. © 2020, The Author(s).
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 10

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy