SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Zhao Y) ;lar1:(miun)"

Sökning: WFRF:(Zhao Y) > Mittuniversitetet

  • Resultat 1-10 av 16
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Yin, X., et al. (författare)
  • Vertical Sandwich Gate-All-Around Field-Effect Transistors with Self-Aligned High-k Metal Gates and Small Effective-Gate-Length Variation
  • 2020
  • Ingår i: IEEE Electron Device Letters. - : Institute of Electrical and Electronics Engineers Inc.. - 0741-3106 .- 1558-0563. ; 41:1, s. 8-11
  • Tidskriftsartikel (refereegranskat)abstract
    • A new type of vertical nanowire (NW)/nanosheet (NS) field-effect transistors (FETs), termed vertical sandwich gate-all-around (GAA) FETs (VSAFETs), is presented in this work. Moreover, an integration flow that is compatible with processes used in the mainstream industry is proposed for the VSAFETs. Si/SiGe epitaxy, isotropic quasi-atomic-layer etching (qALE), and gate replacement were used to fabricate pVSAFETs for the first time. Vertical GAA FETs with self-aligned high-k metal gates and a small effective-gate-length variation were obtained. Isotropic qALE, including Si-selective etching of SiGe, was developed to control the diameter/thickness of the NW/NS channels. NWs with a diameter of 10 nm and NSs with a thickness of 20 nm were successfully fabricated, and good device characteristics were obtained. Finally, the device performance was investigated and is discussed in this work. © 2019 IEEE.
  •  
2.
  • Chang, A. Y., et al. (författare)
  • Past, present, and future of global health financing : A review of development assistance, government, out-of-pocket, and other private spending on health for 195 countries, 1995-2050
  • 2019
  • Ingår i: The Lancet. - : Lancet Publishing Group. - 0140-6736 .- 1474-547X. ; 393:10187, s. 2233-2260
  • Tidskriftsartikel (refereegranskat)abstract
    • Background: Comprehensive and comparable estimates of health spending in each country are a key input for health policy and planning, and are necessary to support the achievement of national and international health goals. Previous studies have tracked past and projected future health spending until 2040 and shown that, with economic development, countries tend to spend more on health per capita, with a decreasing share of spending from development assistance and out-of-pocket sources. We aimed to characterise the past, present, and predicted future of global health spending, with an emphasis on equity in spending across countries. Methods: We estimated domestic health spending for 195 countries and territories from 1995 to 2016, split into three categories-government, out-of-pocket, and prepaid private health spending-and estimated development assistance for health (DAH) from 1990 to 2018. We estimated future scenarios of health spending using an ensemble of linear mixed-effects models with time series specifications to project domestic health spending from 2017 through 2050 and DAH from 2019 through 2050. Data were extracted from a broad set of sources tracking health spending and revenue, and were standardised and converted to inflation-adjusted 2018 US dollars. Incomplete or low-quality data were modelled and uncertainty was estimated, leading to a complete data series of total, government, prepaid private, and out-of-pocket health spending, and DAH. Estimates are reported in 2018 US dollars, 2018 purchasing-power parity-adjusted dollars, and as a percentage of gross domestic product. We used demographic decomposition methods to assess a set of factors associated with changes in government health spending between 1995 and 2016 and to examine evidence to support the theory of the health financing transition. We projected two alternative future scenarios based on higher government health spending to assess the potential ability of governments to generate more resources for health. Findings: Between 1995 and 2016, health spending grew at a rate of 4.00% (95% uncertainty interval 3.89-4.12) annually, although it grew slower in per capita terms (2.72% [2.61-2.84]) and increased by less than $1 per capita over this period in 22 of 195 countries. The highest annual growth rates in per capita health spending were observed in upper-middle-income countries (5.55% [5.18-5.95]), mainly due to growth in government health spending, and in lower-middle-income countries (3.71% [3.10-4.34]), mainly from DAH. Health spending globally reached $8.0 trillion (7.8-8.1) in 2016 (comprising 8.6% [8.4-8.7] of the global economy and $10.3 trillion [10.1-10.6] in purchasing-power parity-adjusted dollars), with a per capita spending of US$5252 (5184-5319) in high-income countries, $491 (461-524) in upper-middle-income countries, $81 (74-89) in lower-middle-income countries, and $40 (38-43) in low-income countries. In 2016, 0.4% (0.3-0.4) of health spending globally was in low-income countries, despite these countries comprising 10.0% of the global population. In 2018, the largest proportion of DAH targeted HIV/AIDS ($9.5 billion, 24.3% of total DAH), although spending on other infectious diseases (excluding tuberculosis and malaria) grew fastest from 2010 to 2018 (6.27% per year). The leading sources of DAH were the USA and private philanthropy (excluding corporate donations and the Bill & Melinda Gates Foundation). For the first time, we included estimates of China’s contribution to DAH ($644.7 million in 2018). Globally, health spending is projected to increase to $15.0 trillion (14.0-16.0) by 2050 (reaching 9.4% [7.6-11.3] of the global economy and $21.3 trillion [19.8-23.1] in purchasing-power parity-adjusted dollars), but at a lower growth rate of 1.84% (1.68-2.02) annually, and with continuing disparities in spending between countries. In 2050, we estimate that 0.6% (0.6-0.7) of health spending will occur in currently low-income countries, despite these countries comprising an estimated 15.7% of the global population by 2050. The ratio between per capita health spending in high-income and low-income countries was 130.2 (122.9-136.9) in 2016 and is projected to remain at similar levels in 2050 (125.9 [113.7-138.1]). The decomposition analysis identified governments’ increased prioritisation of the health sector and economic development as the strongest factors associated with increases in government health spending globally. Future government health spending scenarios suggest that, with greater prioritisation of the health sector and increased government spending, health spending per capita could more than double, with greater impacts in countries that currently have the lowest levels of government health spending. Interpretation: Financing for global health has increased steadily over the past two decades and is projected to continue increasing in the future, although at a slower pace of growth and with persistent disparities in per-capita health spending between countries. Out-of-pocket spending is projected to remain substantial outside of high-income countries. Many low-income countries are expected to remain dependent on development assistance, although with greater government spending, larger investments in health are feasible. In the absence of sustained new investments in health, increasing efficiency in health spending is essential to meet global health targets. © 2019 The Author(s).
  •  
3.
  • Haagsma, JA, et al. (författare)
  • Burden of injury along the development spectrum: associations between the Socio-demographic Index and disability-adjusted life year estimates from the Global Burden of Disease Study 2017
  • 2020
  • Ingår i: Injury prevention : journal of the International Society for Child and Adolescent Injury Prevention. - : BMJ. - 1475-5785 .- 1353-8047. ; 26:SUPP_1Supp 1, s. 12-26
  • Tidskriftsartikel (refereegranskat)abstract
    • The epidemiological transition of non-communicable diseases replacing infectious diseases as the main contributors to disease burden has been well documented in global health literature. Less focus, however, has been given to the relationship between sociodemographic changes and injury. The aim of this study was to examine the association between disability-adjusted life years (DALYs) from injury for 195 countries and territories at different levels along the development spectrum between 1990 and 2017 based on the Global Burden of Disease (GBD) 2017 estimates.MethodsInjury mortality was estimated using the GBD mortality database, corrections for garbage coding and CODEm—the cause of death ensemble modelling tool. Morbidity estimation was based on surveys and inpatient and outpatient data sets for 30 cause-of-injury with 47 nature-of-injury categories each. The Socio-demographic Index (SDI) is a composite indicator that includes lagged income per capita, average educational attainment over age 15 years and total fertility rate.ResultsFor many causes of injury, age-standardised DALY rates declined with increasing SDI, although road injury, interpersonal violence and self-harm did not follow this pattern. Particularly for self-harm opposing patterns were observed in regions with similar SDI levels. For road injuries, this effect was less pronounced.ConclusionsThe overall global pattern is that of declining injury burden with increasing SDI. However, not all injuries follow this pattern, which suggests multiple underlying mechanisms influencing injury DALYs. There is a need for a detailed understanding of these patterns to help to inform national and global efforts to address injury-related health outcomes across the development spectrum.
  •  
4.
  • Du, Y., et al. (författare)
  • Strain modulation of selectively and/or globally grown ge layers
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:6
  • Tidskriftsartikel (refereegranskat)abstract
    • This article presents a novel method to grow a high-quality compressive-strain Ge epilayer on Si using the selective epitaxial growth (SEG) applying the RPCVD technique. The procedures are composed of a global growth of Ge layer on Si followed by a planarization using CMP as initial process steps. The growth parameters of the Ge layer were carefully optimized and after cycle-annealing treatments, the threading dislocation density (TDD) was reduced to 3 × 107 cm−2 . As a result of this process, a tensile strain of 0.25% was induced, whereas the RMS value was as low as 0.81 nm. Later, these substrates were covered by an oxide layer and patterned to create trenches for selective epitaxy growth (SEG) of the Ge layer. In these structures, a type of compressive strain was formed in the SEG Ge top layer. The strain amount was −0.34%; meanwhile, the TDD and RMS surface roughness were 2 × 106 cm−2 and 0.68 nm, respectively. HRXRD and TEM results also verified the existence of compressive strain in selectively grown Ge layer. In contrast to the tensile strained Ge layer (globally grown), enhanced PL intensity by a factor of more than 2 is partially due to the improved material quality. The significantly high PL intensity is attributed to the improved crystalline quality of the selectively grown Ge layer. The change in direct bandgap energy of PL was observed, owing to the compressive strain introduced. Hall measurement shows that a selectively grown Ge layer possesses room temperature hole mobility up to 375 cm2/Vs, which is approximately 3 times larger than that of the Ge (132 cm2/Vs). Our work offers fundamental guidance for the growth of high-quality and compressive strain Ge epilayer on Si for future Ge-based optoelectronics integration applications.
  •  
5.
  • Li, C., et al. (författare)
  • Selective digital etching of silicon-germanium using nitric and hydrofluoric acids
  • 2020
  • Ingår i: ACS Applied Materials and Interfaces. - : American Chemical Society. - 1944-8244 .- 1944-8252. ; 12:42, s. 48170-48178
  • Tidskriftsartikel (refereegranskat)abstract
    • A digital etching method was proposed to achieve excellent control of etching depth. The digital etching characteristics of p+-Si and Si0.7Ge0.3 using a combination of HNO3 oxidation and buffered oxide etching oxide removal processes were investigated. Experimental results showed that oxidation saturates as time goes on because of low activation energy and its diffusion-limited characteristic. An oxidation model was developed to describe the wet oxidation process with nitric acid. The model was calibrated with experimental data, and the oxidation saturation time, final oxide thickness, and selectivity between Si0.7Ge0.3 and p+-Si were obtained. In Si0.7Ge0.3/p+-Si stacks, the saturated relative etched depth per cycle was 0.5 nm (four monolayers), and variation between experiments was about 4% after saturation. A corrected selectivity calculation formula was also proposed, and the calculated selectivity was 3.7-7.7 for different oxidation times, which was the same as the selectivity obtained from our oxidation model. The proposed model can be used to analyze process variations and repeatability, and it can provide credible guidance for the design of other wet digital etching experiments. © 2020 American Chemical Society.
  •  
6.
  • Miao, Y., et al. (författare)
  • Review of Si-based GeSn CVD growth and optoelectronic applications
  • 2021
  • Ingår i: Nanomaterials. - : MDPI. - 2079-4991. ; 11:10
  • Tidskriftsartikel (refereegranskat)abstract
    • GeSn alloys have already attracted extensive attention due to their excellent properties and wide-ranging electronic and optoelectronic applications. Both theoretical and experimental results have shown that direct bandgap GeSn alloys are preferable for Si-based, high-efficiency light source applications. For the abovementioned purposes, molecular beam epitaxy (MBE), physical vapour deposition (PVD), and chemical vapor deposition (CVD) technologies have been extensively explored to grow high-quality GeSn alloys. However, CVD is the dominant growth method in the industry, and it is therefore more easily transferred. This review is focused on the recent progress in GeSn CVD growth (including ion implantation, in situ doping technology, and ohmic contacts), GeSn detectors, GeSn lasers, and GeSn transistors. These review results will provide huge advancements for the research and development of high-performance electronic and optoelectronic devices. © 2021 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
7.
  • Vos, Theo, et al. (författare)
  • Global, regional, and national incidence, prevalence, and years lived with disability for 301 acute and chronic diseases and injuries in 188 countries, 1990-2013: a systematic analysis for the Global Burden of Disease Study 2013
  • 2015
  • Ingår i: The Lancet. - 1474-547X .- 0140-6736. ; 386:9995, s. 743-800
  • Tidskriftsartikel (refereegranskat)abstract
    • Background Up-to-date evidence about levels and trends in disease and injury incidence, prevalence, and years lived with disability (YLDs) is an essential input into global, regional, and national health policies. In the Global Burden of Disease Study 2013 (GBD 2013), we estimated these quantities for acute and chronic diseases and injuries for 188 countries between 1990 and 2013. Methods Estimates were calculated for disease and injury incidence, prevalence, and YLDs using GBD 2010 methods with some important refinements. Results for incidence of acute disorders and prevalence of chronic disorders are new additions to the analysis. Key improvements include expansion to the cause and sequelae list, updated systematic reviews, use of detailed injury codes, improvements to the Bayesian meta-regression method (DisMod-MR), and use of severity splits for various causes. An index of data representativeness, showing data availability, was calculated for each cause and impairment during three periods globally and at the country level for 2013. In total, 35 620 distinct sources of data were used and documented to calculated estimates for 301 diseases and injuries and 2337 sequelae. The comorbidity simulation provides estimates for the number of sequelae, concurrently, by individuals by country, year, age, and sex. Disability weights were updated with the addition of new population-based survey data from four countries. Findings Disease and injury were highly prevalent; only a small fraction of individuals had no sequelae. Comorbidity rose substantially with age and in absolute terms from 1990 to 2013. Incidence of acute sequelae were predominantly infectious diseases and short-term injuries, with over 2 billion cases of upper respiratory infections and diarrhoeal disease episodes in 2013, with the notable exception of tooth pain due to permanent caries with more than 200 million incident cases in 2013. Conversely, leading chronic sequelae were largely attributable to non-communicable diseases, with prevalence estimates for asymptomatic permanent caries and tension-type headache of 2.4 billion and 1.6 billion, respectively. The distribution of the number of sequelae in populations varied widely across regions, with an expected relation between age and disease prevalence. YLDs for both sexes increased from 537.6 million in 1990 to 764.8 million in 2013 due to population growth and ageing, whereas the age-standardised rate decreased little from 114.87 per 1000 people to 110.31 per 1000 people between 1990 and 2013. Leading causes of YLDs included low back pain and major depressive disorder among the top ten causes of YLDs in every country. YLD rates per person, by major cause groups, indicated the main drivers of increases were due to musculoskeletal, mental, and substance use disorders, neurological disorders, and chronic respiratory diseases; however HIV/AIDS was a notable driver of increasing YLDs in sub-Saharan Africa. Also, the proportion of disability-adjusted life years due to YLDs increased globally from 21.1% in 1990 to 31.2% in 2013. Interpretation Ageing of the world's population is leading to a substantial increase in the numbers of individuals with sequelae of diseases and injuries. Rates of YLDs are declining much more slowly than mortality rates. The non-fatal dimensions of disease and injury will require more and more attention from health systems. The transition to non-fatal outcomes as the dominant source of burden of disease is occurring rapidly outside of sub-Saharan Africa. Our results can guide future health initiatives through examination of epidemiological trends and a better understanding of variation across countries.
  •  
8.
  • Xie, L., et al. (författare)
  • Strained Si0.2ge0.8/ge multilayer stacks epitaxially grown on a low-/high-temperature ge buffer layer and selective wet-etching of germanium
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:9, s. 1-12
  • Tidskriftsartikel (refereegranskat)abstract
    • With the development of new designs and materials for nano-scale transistors, vertical Gate-All-Around Field Effect Transistors (vGAAFETs) with germanium as channel materials have emerged as excellent choices. The driving forces for this choice are the full control of the short channel effect and the high carrier mobility in the channel region. In this work, a novel process to form the structure for a VGAA transistor with a Ge channel is presented. The structure consists of multilayers of Si0.2Ge0.8/Ge grown on a Ge buffer layer grown by the reduced pressure chemical vapor deposition technique. The Ge buffer layer growth consists of low-temperature growth at 400 °C and high-temperature growth at 650 °C. The impact of the epitaxial quality of the Ge buffer on the defect density in the Si0.2Ge0.8/Ge stack has been studied. In this part, different thicknesses (0.6, 1.2 and 2.0 µm) of the Ge buffer on the quality of the Si0.2Ge0.8/Ge stack structure have been investigated. The thicker Ge buffer layer can improve surface roughness. A high-quality and atomically smooth surface with RMS 0.73 nm of the Si0.2Ge0.8/Ge stack structure can be successfully realized on the 1.2 µm Ge buffer layer. After the epitaxy step, the multilayer is vertically dry-etched to form a fin where the Ge channel is selectively released to SiGe by using wet-etching in HNO3 and H2O2 solution at room temperature. It has been found that the solution concentration has a great effect on the etch rate. The relative etching depth of Ge is linearly dependent on the etching time in H2O2 solution. The results of this study emphasize the selective etching of germanium and provide the experimental basis for the release of germanium channels in the future. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
9.
  • Zhao, X., et al. (författare)
  • High performance p-i-n photodetectors on ge-on-insulator platform
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:5
  • Tidskriftsartikel (refereegranskat)abstract
    • In this article, we demonstrated novel methods to improve the performance of p-i-n photodetectors (PDs) on a germanium-on-insulator (GOI). For GOI photodetectors with a mesa diameter of 10 µm, the dark current at −1 V is 2.5 nA, which is 2.6-fold lower than that of the Ge PD processed on Si substrates. This improvement in dark current is due to the careful removal of the defected Ge layer, which is formed with the initial growth of Ge on Si. The bulk leakage current density and surface leakage density of the GOI detector at −1 V are as low as 1.79 mA/cm2 and 0.34 µA/cm, respectively. GOI photodetectors with responsivity of 0.5 and 0.9 A/W at 1550 and 1310 nm wavelength are demonstrated. The optical performance of the GOI photodetector could be remarkably improved by integrating a tetraethylorthosilicate (TEOS) layer on the oxide side due to the better optical confinement and resonant cavity effect. These PDs with high performances and full compatibility with Si CMOS processes are attractive for applications in both telecommunications and monolithic optoelectronics integration on the same chip.
  •  
10.
  • Zhu, Y., et al. (författare)
  • Catalytic pyrolysis of duckweed with phosphoric acid : Pyrolysis behavior and kinetics analysis
  • 2024
  • Ingår i: Journal of Analytical and Applied Pyrolysis. - : Elsevier BV. - 0165-2370 .- 1873-250X. ; 177
  • Tidskriftsartikel (refereegranskat)abstract
    • Duckweed (DW) has a promising potential for wastewater treatment due to its outstanding performance in the fixation of nutrient elements and heavy metals. The conversion of harvested duckweed into value-added products through pyrolysis is an attractive method for duckweed utilization as fuels or chemicals. In this work, the duckweed was prepared by deashing treatment and subsequent impregnation with different phosphoric acid concentrations (ADW-P). The pyrolysis behavior and kinetics of raw and impregnated duckweeds were studied with respect to the ash contained in the duckweed and the phosphoric acid catalytic effect by thermogravimetric analysis-fourier transform infrared spectrometer (TG-FTIR) and pyrolysis-gas chromatography/mass spectrometry (Py-GC/MS). The results show that the pyrolysis reaction zone is extended for the impregnated duckweed by reducing the initial pyrolysis temperature and increasing the final temperature. Specifically, a shoulder peak situated at the right side of the main peak is present in the DTG curves of ADW-P, suggesting the formation of relatively stable substance after phosphoric acid impregnation. Phosphoric acid promotes the release of H2O, CH4, CO2 and NH3 as well as light volatiles with C[dbnd]C and C[dbnd]O groups during pyrolysis. Meanwhile, decarboxylation and aromatization as well as deamination of organic compounds are strengthened, resulting in an increase of aromatic hydrocarbons and furans and a decrease of N-heterocyclic compounds. The activation energy of ADW-P is lower than that of DW and ADW at conversion rate less than 75% but increases remarkably at high conversion rate. This implies that phosphoric acid facilitates the thermal decomposition of DW at low temperatures but hinders its decomposition at high temperatures probably due to the formation of stable cross-linked structures such as phosphate and polyphosphate esters. 
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 16
Typ av publikation
tidskriftsartikel (15)
forskningsöversikt (1)
Typ av innehåll
refereegranskat (16)
Författare/redaktör
Radamson, Henry H. (8)
Zhu, H. (5)
Li, J. (5)
Zhang, Y. (5)
McKee, Martin (4)
Li, C. (4)
visa fler...
Dandona, Lalit (4)
Dandona, Rakhi (4)
Farzadfar, Farshad (4)
Jonas, Jost B. (4)
Lozano, Rafael (4)
Malekzadeh, Reza (4)
Miller, Ted R. (4)
Mokdad, Ali H. (4)
Pereira, David M. (4)
Sepanlou, Sadaf G. (4)
Liu, J. (3)
Zhao, X. (3)
Zhao, L. (3)
Larsson, Anders (3)
Venketasubramanian, ... (3)
Hankey, Graeme J. (3)
Dalal, Koustuv (3)
Lin, H (3)
Aboyans, Victor (3)
Petzold, Max, 1973 (3)
Cooper, Cyrus (3)
Weiderpass, Elisabet ... (3)
Brenner, Hermann (3)
Kivipelto, Miia (3)
Sindi, Shireen (3)
Poenaru, Dan (3)
Ohkubo, Takayoshi (3)
Hay, Simon I. (3)
Xie, L. (3)
Abbafati, Cristiana (3)
Badawi, Alaa (3)
Zaki, Maysaa El Saye ... (3)
Esteghamati, Alireza (3)
Feigin, Valery L. (3)
Geleijnse, Johanna M ... (3)
Gillum, Richard F. (3)
Khang, Young-Ho (3)
Kokubo, Yoshihiro (3)
Kumar, G. Anil (3)
Lopez, Alan D. (3)
Lotufo, Paulo A. (3)
Mozaffarian, Dariush (3)
Naghavi, Mohsen (3)
Thorne-Lyman, Andrew ... (3)
visa färre...
Lärosäte
Karolinska Institutet (5)
Uppsala universitet (4)
Lunds universitet (4)
Göteborgs universitet (3)
Högskolan Dalarna (2)
visa fler...
Stockholms universitet (1)
Högskolan i Skövde (1)
visa färre...
Språk
Engelska (16)
Forskningsämne (UKÄ/SCB)
Medicin och hälsovetenskap (6)
Naturvetenskap (3)
Teknik (2)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy