SwePub
Sök i LIBRIS databas

  Utökad sökning

id:"swepub:oai:DiVA.org:liu-187362"
 

Sökning: id:"swepub:oai:DiVA.org:liu-187362" > BMC-Based Temperatu...

BMC-Based Temperature-Aware SBST for Worst-Case Delay Fault Testing Under High Temperature

Zhang, Ying (författare)
Tongji Univ, Peoples R China
Ding, Yi (författare)
Tongji Univ, Peoples R China
Peng, Zebo, 1958- (författare)
Linköpings universitet,Programvara och system,Tekniska fakulteten
visa fler...
Li, Huawei (författare)
Chinese Acad Sci, Peoples R China; Univ Chinese Acad Sci, Peoples R China
Fujita, Masahiro (författare)
Univ Tokyo, Japan
Jiang, Jianhui (författare)
Tongji Univ, Peoples R China
visa färre...
 (creator_code:org_t)
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 2022
2022
Engelska.
Ingår i: IEEE Transactions on Very Large Scale Integration (vlsi) Systems. - : IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. - 1063-8210 .- 1557-9999. ; 30:11, s. 1677-1690
  • Tidskriftsartikel (refereegranskat)
Abstract Ämnesord
Stäng  
  • This article presents a bounded model checking (BMC)-based temperature-aware software-based self-testing (SBST) technique to test worst case delay faults within the highest temperature range. The BMC-based SBST method first defines the sequential constraint. It develops a sequentially constrained automatic test pattern generation (ATPG) to ensure that the generated delay test patterns can emerge in functional mode. It then uses the processors multiple-level information to reduce the model complexity, avoid aborts due to time-outs during the BMC process, and generate test programs automatically. A temperature-aware SBST method has then been developed to ensure that the test temperature is within the specified range and test the worst case delays under high temperature. Experimental results demonstrate that the proposed technique achieves an extremely high coverage for delay faults and effectively avoids yield loss caused by the overtesting problem. Its test quality also outperforms that of the existing methods. The generated SBST programs are successful and efficient in testing worst case delay faults under high temperature.

Ämnesord

NATURVETENSKAP  -- Data- och informationsvetenskap -- Datavetenskap (hsv//swe)
NATURAL SCIENCES  -- Computer and Information Sciences -- Computer Sciences (hsv//eng)

Nyckelord

Delays; Circuit faults; Temperature distribution; Registers; Logic gates; Symbols; Manufacturing; Bounded model checking (BMC); software-based self-testing (SBST); temperature-aware testing; worst case delay faults

Publikations- och innehållstyp

ref (ämneskategori)
art (ämneskategori)

Hitta via bibliotek

Till lärosätets databas

Sök utanför SwePub

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy