SwePub
Tyck till om SwePub Sök här!
Sök i LIBRIS databas

  Utökad sökning

id:"swepub:oai:DiVA.org:miun-39020"
 

Sökning: id:"swepub:oai:DiVA.org:miun-39020" > Study of silicon ni...

Study of silicon nitride inner spacer formation in process of gate-all-around nano-transistors

Li, J. (författare)
Li, Y. (författare)
Zhou, N. (författare)
visa fler...
Xiong, W. (författare)
Wang, G. (författare)
Zhang, Q. (författare)
Du, A. (författare)
Gao, J. (författare)
Kong, Z. (författare)
Lin, H. (författare)
Xiang, J. (författare)
Li, C. (författare)
Yin, X. (författare)
Wang, X. (författare)
Yang, H. (författare)
Ma, X. (författare)
Han, J. (författare)
Zhang, J. (författare)
Hu, T. (författare)
Cao, Z. (författare)
Yang, T. (författare)
Yin, H. (författare)
Zhu, H. (författare)
Luo, J. (författare)
Wang, W. (författare)
Radamson, Henry H. (författare)
Mittuniversitetet,Institutionen för elektronikkonstruktion,Chinese Academy of Sciences, Beijing, 100029, China;
visa färre...
 (creator_code:org_t)
2020-04-20
2020
Engelska.
Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:4
  • Tidskriftsartikel (refereegranskat)
Abstract Ämnesord
Stäng  
  • Stacked SiGe/Si structures are widely used as the units for gate-all-around nanowire transistors (GAA NWTs) which are a promising candidate beyond fin field effective transistors (FinFETs) technologies in near future. These structures deal with a several challenges brought by the shrinking of device dimensions. The preparation of inner spacers is one of the most critical processes for GAA nano-scale transistors. This study focuses on two key processes: Inner spacer film conformal deposition and accurate etching. The results show that low pressure chemical vapor deposition (LPCVD) silicon nitride has a good film filling effect; a precise and controllable silicon nitride inner spacer structure is prepared by using an inductively coupled plasma (ICP) tool and a new gas mixtures of CH2F2/CH4/O2/Ar. Silicon nitride inner spacer etch has a high etch selectivity ratio, exceeding 100:1 to Si and more than 30:1 to SiO2. High anisotropy with an excellent vertical/lateral etch ratio exceeding 80:1 is successfully demonstrated. It also provides a solution to the key process challenges of nano-transistors beyond 5 nm node. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.

Ämnesord

NATURVETENSKAP  -- Fysik -- Den kondenserade materiens fysik (hsv//swe)
NATURAL SCIENCES  -- Physical Sciences -- Condensed Matter Physics (hsv//eng)

Nyckelord

Field effect transistor
Gate-all-around (GAA)
High anisotropy
High etch selectivity
Inner spacer
Nanosheet
Nanostructure manufacture
Nanowire

Publikations- och innehållstyp

ref (ämneskategori)
art (ämneskategori)

Hitta via bibliotek

Till lärosätets databas

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy