SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "L773:2166 2746 OR L773:2166 2754 "

Sökning: L773:2166 2746 OR L773:2166 2754

  • Resultat 1-10 av 59
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Abuwasib, Mohammad, 1982, et al. (författare)
  • Fabrication of large dimension aluminum air-bridges for superconducting quantum circuits
  • 2013
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 31:3, s. 031601-
  • Tidskriftsartikel (refereegranskat)abstract
    • Proper grounding between different ground planes in coplanar superconducting qubit circuits is important to avoid spurious resonances which increase decoherence. Here, the authors present a possible solution to suppress such undesired modes using superconducting aluminum air-bridges which have been fabricated on top of aluminum coplanar waveguide transmission lines. 3D electromagnetic simulations were done to guide the design of the air-bridges such that the input reflection (S11) of the bridges was kept at a minimum level. A fabrication method based on optical lithography techniques was developed and it resulted in air-bridges with a height of approximately 10 μm and lengths of up to 500 μm. The method can be generalized to arbitrary length air-bridge with heights even exceeding 15 μm.
  •  
2.
  • Ahlberg, Patrik, et al. (författare)
  • Toward synthesis of oxide films on graphene with sputtering based processes
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The impact of energetic particles associated with a sputter deposition process may introduce damage to single layer graphene films, making it challenging to apply this method when processing graphene. The challenge is even greater when oxygen is incorporated into the sputtering process as graphene can be readily oxidized. This work demonstrates a method of synthesizing ZnSn oxide on graphene without introducing an appreciable amount of defects into the underlying graphene. Moreover, the method is general and applicable to other oxides. The formation of ZnSn oxide is realized by sputter deposition of ZnSn followed by a postoxidation step. In order to prevent the underlying graphene from damage during the initial sputter deposition process, the substrate temperature is kept close to room temperature, and the processing pressure is kept high enough to effectively suppress energetic bombardment. Further, in the subsequent postannealing step, it is important not to exceed temperatures resulting in oxidation of the graphene. The authors conclude that postoxidation of ZnSn is satisfactorily performed at 300 degrees C in pure oxygen at reduced pressure. This process results in an oxidized ZnSn film while retaining the initial quality of the graphene film.
  •  
3.
  • Ahlskog, Markus, et al. (författare)
  • Individual arc-discharge synthesized multiwalled carbon nanotubes probed with multiple measurement techniques
  • 2020
  • Ingår i: Journal of Vacuum Science and Technology B. - : A V S AMER INST PHYSICS. - 2166-2746 .- 2166-2754. ; 38:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Arc-discharge synthesized multiwalled carbon nanotubes (AD-MWNT), or related MWNTs, exhibit a good quality compared to the more common type of MWNT synthesized by catalytic chemical vapor deposition methods. Yet experimental measurements on these are rather few and typically have not correlated data from different measurement techniques. Here, the authors report Raman spectroscopy, scanning probe microscopy, conductivity measurements, and force microscopy on single AD-MWNTs. The results demonstrate the high quality of AD-MWNTs and are compatible with the view of them as the best approximation of MWNTs as an assembly of defect-free concentric individual single-walled carbon nanotubes. The authors also demonstrate conductance measurements over a step on the surface of an AD-MWNT, which is due to an abruptly broken outer layer(s), whereby the interlayer resistance is measured.
  •  
4.
  • Andersson, Eric, 1992, et al. (författare)
  • Nondestructive cleaning of the LaAlO3/SrTiO3 surface with ultraviolet light and ozone
  • 2016
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The effect of ultraviolet light produced ozone and irradiation (UV/ozone) cleaning on the surface properties and interface electrical properties of 4 unit cell (uc) LaAlO3/SrTiO3 samples is examined. A standard photolithography process is used to contaminate the samples which are then cleaned in UV/ozone. Atomic force microscopy measurements show that the photoresist contaminated samples can be cleaned efficiently using this method. The surface roughness of the cleaned samples is comparable to that of the as-grown samples. Furthermore, electrical transport measurements show that the mobility decreases and the sheet carrier density increases for the contaminated samples, which also display indications of an onset to the Kondo effect. By removing the contaminants with UV/ozone cleaning, the mobility and sheet carrier density can be partially restored toward the as-grown values. The mobility is increased by about two times from approximate to 1000 cm(2) V-1 s(-1) for the contaminated samples to approximate to 2000 cm(2) V-1 s(-1) for the ozone cleaned ones. (C) 2016 American Vacuum Society.
  •  
5.
  • Andric, Stefan, et al. (författare)
  • Low-temperature back-end-of-line technology compatible with III-V nanowire MOSFETs
  • 2019
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 37:6
  • Tidskriftsartikel (refereegranskat)abstract
    • We present a low-temperature processing scheme for the integration of either lateral or vertical nanowire (NW) transistors with a multilayer back-end-of-line interconnect stack. The nanowire device temperature budget has been addressed, and materials for the interconnect fabrication have been selected accordingly. A benzocyclobutene (BCB) polymer is used as an interlayer dielectric, with interconnect vias formed by reactive ion etching. A study on via etching conditions for multiple interlayer dielectric thicknesses reveals that the sidewall slope can be engineered. An optimal reactive ion etch is identified at 250 mTorr chamber pressure and power of 160 W, using an SF6 to O2 gas mix of 4%. This results in a low via resistance, even for scaled structures. The BCB dielectric etch rate and dielectric-to-soft mask etch selectivity are quantified. Electrical measurements on lateral and vertical III-V NW transistors, before and after the back-end-of-line process, are presented. No performance degradation is observed, only minor differences that are attributed to contact annealing and threshold voltage shift.
  •  
6.
  • Arwin, Hans, et al. (författare)
  • Effective structural chirality of beetle cuticle determined from transmission Mueller matrices using the Tellegen constitutive relations
  • 2020
  • Ingår i: Journal of Vacuum Science and Technology B. - : A V S AMER INST PHYSICS. - 2166-2746 .- 2166-2754. ; 38:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Several beetle species in the Scarabaeoidea superfamily reflect left-handed polarized light due to a circular Bragg structure in their cuticle. The right-handed polarized light is transmitted. The objective here is to evaluate cuticle chiral properties in an effective medium approach using transmission Mueller matrices assuming the cuticle to be a bianisotropic continuum. Both differential decomposition and nonlinear regression were used in the spectral range of 500-1690nm. The former method provides the sample cumulated birefringence and dichroic optical properties and is model-free but requires a homogeneous sample. The materials chirality is deduced from the circular birefringence and circular dichroic spectra obtained. The regression method requires dispersion models for the optical functions but can also be used in more complex structures including multilayered and graded media. It delivers the material properties in terms of model functions of materials permittivity and chirality. The two methods show excellent agreement for the complex-valued chirality spectrum of the cuticle.
  •  
7.
  • Astromskas, Gvidas, et al. (författare)
  • Thin InAs membranes and GaSb buffer layers on GaAs(001) substrates
  • 2012
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 30:5
  • Tidskriftsartikel (refereegranskat)abstract
    • Thin InAs layers and membranes are fabricated on GaAs substrates using GaSb buffer layers grown by MOVPE. The quality of the GaSb buffer layers is optimized and epitaxial InAs layers are grown on GaSb layers of various thickness. The best GaSb buffer layers are obtained for a nucleation temperature of 450 degrees C and a subsequent growth temperature of 570 degrees C with a V/III ratio of 3, as confirmed by both the structural (high-resolution XRD, AFM) and electrical (Hall) measurements. Furthermore, a clear relationship between the structural quality of the GaSb and InAs layers is established. Finally, free-standing InAs structures are fabricated where Hall measurements reveal a mobility that depends on the film thickness.
  •  
8.
  • Awan, Kashif Masud, et al. (författare)
  • Nanostructuring of GaAs with tailored topologies using colloidal lithography and dry etching
  • 2014
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 32:2, s. 021801-
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the fabrication of GaAs nanopillars with different profiles/topologies using colloidal lithography and dry etching. GaAs nanopillars with different shapes and dimensions were successfully fabricated using inductively coupled plasma reactive ion etching. Two different etch chemistries CH4/H-2/Cl-2 and Ar/Cl-2 were investigated. The fabricated nanopillar arrays had a typical period of similar to 500 nm, and the depths could be varied from a few nanometers to 4 mu m. The CH4/H-2/Cl-2 chemistry with optimized gas flows and plasma powers is shown to produce nanopillars with smooth sidewalls compared to those fabricated with the Ar/Cl-2 chemistry. The GaAs nanopillar arrays have appreciably lower reflectivities in the measured wavelength range from 400 to 850 nm and are typically one order of magnitude lower compared to planar GaAs, which shows their potential for photovoltaic applications.
  •  
9.
  • Bonmann, Marlene, 1988, et al. (författare)
  • Effect of oxide traps on channel transport characteristics in graphene field effect transistors
  • 2017
  • Ingår i: Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. - : American Vacuum Society. - 2166-2754 .- 2166-2746. ; 35:1, s. 01A115-
  • Tidskriftsartikel (refereegranskat)abstract
    • A semiempirical model describing the influence of interface states on characteristics of gatecapacitance and drain resistance versus gate voltage of top gated graphene field effect transistors ispresented. By fitting our model to measurements of capacitance–voltage characteristics and relatingthe applied gate voltage to the Fermi level position, the interface state density is found. Knowing theinterface state density allows us to fit our model to measured drain resistance–gate voltagecharacteristics. The extracted values of mobility and residual charge carrier concentration arecompared with corresponding results from a commonly accepted model which neglects the effect ofinterface states. The authors show that mobility and residual charge carrier concentration differsignificantly, if interface states are neglected. Furthermore, our approach allows us to investigate indetail how uncertainties in material parameters like the Fermi velocity and contact resistanceinfluence the extracted values of interface state density, mobility, and residual charge carrierconcentration.
  •  
10.
  • Chang, Tzu-Hsuan, et al. (författare)
  • Selective release of InP heterostructures from InP substrates
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report here a method of protecting the sidewall for the selective release of InGaAsP quantum-well (QW) heterostructure from InP substrates. An intact sidewall secured by SiO2 was demonstrated during the sacrificial layer selective etching, resulting in the suspended InGaAsP QW membranes which were later transferred to the Si substrate with polydimethylsiloxane stamp. The quality of the transferred InGaAsP QW membranes has been validated through photoluminescence and EL measurements. This approach could extend to arbitrary targeting substrate in numerous photonics and electronics applications.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 59
Typ av publikation
tidskriftsartikel (57)
konferensbidrag (1)
forskningsöversikt (1)
Typ av innehåll
refereegranskat (59)
Författare/redaktör
Zhang, Shi-Li (5)
Wernersson, Lars-Eri ... (3)
Järrendahl, Kenneth (3)
Smith, Ulf (3)
Holmberg, Anders (3)
Janzén, Erik (2)
visa fler...
Maximov, Ivan (2)
Östling, Mikael (2)
Primetzhofer, Daniel (2)
Lemme, Max C., 1970- (2)
Montelius, Lars (2)
Jansson, Ulf (2)
Nyberg, Tomas (2)
Werner, M. (1)
Gylfason, Kristinn B ... (1)
Delsing, Per, 1959 (1)
Lundgren, Edvin (1)
Lu, Jun (1)
Hultman, Lars (1)
Graczyk, Mariusz (1)
Lu, Y (1)
Radamson, Henry H. (1)
Abedin, Ahmad (1)
Hellström, Per-Erik, ... (1)
Hallén, Anders. (1)
Samuelson, Lars (1)
Olsson, Jörgen (1)
Johansson, Jonas (1)
Hedlund, Christer, 1 ... (1)
Stake, Jan, 1971 (1)
Abuwasib, Mohammad, ... (1)
Krantz, Philip, 1984 (1)
Shleev, Sergey (1)
Schubert, Mathias (1)
CARLSSON, STEFAN, 19 ... (1)
Nilsson, Daniel (1)
Wang, Shu Min, 1963 (1)
Bengtsson, Jörgen, 1 ... (1)
Larsson, Anders, 195 ... (1)
Haglund, Åsa, 1976 (1)
Nilsson, Bengt, 1954 (1)
Borgström, Magnus (1)
Prinz, Christelle (1)
Lehmann, Sebastian (1)
Schouenborg, Jens (1)
Kuzmin, Leonid, 1946 (1)
Tarasov, Mikhail, 19 ... (1)
Ahlberg, Patrik (1)
Zhang, Zhi-Bin (1)
Jonsson, Lars (1)
visa färre...
Lärosäte
Kungliga Tekniska Högskolan (16)
Chalmers tekniska högskola (12)
Linköpings universitet (11)
Lunds universitet (11)
Uppsala universitet (9)
Umeå universitet (5)
visa fler...
RISE (5)
Malmö universitet (1)
Mittuniversitetet (1)
Karlstads universitet (1)
visa färre...
Språk
Engelska (59)
Forskningsämne (UKÄ/SCB)
Teknik (34)
Naturvetenskap (33)
Medicin och hälsovetenskap (1)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy