SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Li Huawei) "

Sökning: WFRF:(Li Huawei)

  • Resultat 1-8 av 8
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Zhang, Ying, et al. (författare)
  • Automatic Test Program Generation Using Executing Trace Based Constraint Extraction for Embedded Processors
  • 2013
  • Ingår i: IEEE Transactions on Very Large Scale Integration (vlsi) Systems. - : IEEE Press. - 1063-8210 .- 1557-9999. ; 21:7, s. 1220-1233
  • Tidskriftsartikel (refereegranskat)abstract
    • Software-based self-testing (SBST) has been a promising method for processor testing, but the complexity of the state-of-art processors still poses great challenges for SBST. This paper utilizes the executing trace collected during executing training programs on the processor under test to simplify mappings and functional constraint extraction for ports of inner components, which facilitate structural test generation with constraints at gate level, and automatic test instruction generation (ATIG) even for hidden control logic (HCL). In addition, for sequential HCL, we present a test routine generation technique on the basis of an extended finite state machine, so that structural patterns for combinational subcircuits in the sequential HCL can be mapped into the test routines to form a test program. Experimental results demonstrate that the proposed ATIG method can achieve good structural fault coverage with compact test programs on modern processors.
  •  
2.
  • Zhang, Ying, et al. (författare)
  • On Modeling and Detecting Trojans in Instruction Sets
  • Ingår i: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. - 0278-0070. ; , s. 1-1
  • Tidskriftsartikel (refereegranskat)abstract
    • Amid growing concerns about hardware security, comprehensive security testing has become essential for chip certification. This paper proposes a deep-testing method for identifying Trojans of particular concern to middle-to-high-end users, with a focus on illegal instructions. A hidden instruction Trojan can employ a low-probability sequence of normal instructions as a boot sequence, which is followed by an illegal instruction that triggers the Trojan. This enables the Trojan to remain deeply hidden within the processor. It then exploits an intrusion mechanism to acquire Linux control authority by setting a hidden interrupt as its payload. We have developed an unbounded model checking (UMC) technique to uncover such Trojans. The proposed UMC technique has been optimized with slicing based on the input cone, head-point replacement, and backward implication. Our experimental results demonstrate that the presented instruction Trojans can survive detection by existing methods, thus allowing normal users to steal root user privileges and compromising the security of processors. Moreover, our proposed deep-testing method is empirically shown to be a powerful and effective approach for detecting these instruction Trojans.
  •  
3.
  • Jiang, Kui, et al. (författare)
  • Suppressed recombination loss in organic photovoltaics adopting a planar-mixed heterojunction architecture
  • 2022
  • Ingår i: Nature Energy. - : NATURE PORTFOLIO. - 2058-7546. ; 7:11, s. 1076-1086
  • Tidskriftsartikel (refereegranskat)abstract
    • At present, high-performance organic photovoltaics mostly adopt a bulk-heterojunction architecture, in which exciton dissociation is facilitated by charge-transfer states formed at numerous donor-acceptor (D-A) heterojunctions. However, the spin character of charge-transfer states originated from recombination of photocarriers allows relaxation to the lowest-energy triplet exciton (T-1) at these heterojunctions, causing photocurrent loss. Here we find that this loss pathway can be alleviated in sequentially processed planar-mixed heterojunction (PMHJ) devices, employing donor and acceptor with intrinsically weaker exciton binding strengths. The reduced D-A intermixing in PMHJ alleviates non-geminate recombination at D-A contacts, limiting the chance of relaxation, thus suppressing T-1 formation without sacrificing exciton dissociation efficiency. This resulted in devices with high power conversion efficiencies of >19%. We elucidate the working mechanisms for PMHJs and discuss the implications for material design, device engineering and photophysics, thus providing a comprehensive grounding for future organic photovoltaics to reach their full promise. Organic solar cells with a bulk-heterojunction architecture suffer from photocurrent loss driven by triplet states. Now, Jiang et al. show that sequentially deposited donor-acceptor planar-mixed heterojunctions suppress triplet formation, enabling efficiencies over 19%.
  •  
4.
  • Zhang, Ying, et al. (författare)
  • BMC-Based Temperature-Aware SBST for Worst-Case Delay Fault Testing Under High Temperature
  • 2022
  • Ingår i: IEEE Transactions on Very Large Scale Integration (vlsi) Systems. - : IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. - 1063-8210 .- 1557-9999. ; 30:11, s. 1677-1690
  • Tidskriftsartikel (refereegranskat)abstract
    • This article presents a bounded model checking (BMC)-based temperature-aware software-based self-testing (SBST) technique to test worst case delay faults within the highest temperature range. The BMC-based SBST method first defines the sequential constraint. It develops a sequentially constrained automatic test pattern generation (ATPG) to ensure that the generated delay test patterns can emerge in functional mode. It then uses the processors multiple-level information to reduce the model complexity, avoid aborts due to time-outs during the BMC process, and generate test programs automatically. A temperature-aware SBST method has then been developed to ensure that the test temperature is within the specified range and test the worst case delays under high temperature. Experimental results demonstrate that the proposed technique achieves an extremely high coverage for delay faults and effectively avoids yield loss caused by the overtesting problem. Its test quality also outperforms that of the existing methods. The generated SBST programs are successful and efficient in testing worst case delay faults under high temperature.
  •  
5.
  • Zhang, Ying, et al. (författare)
  • Software-Based Self-Testing Using Bounded Model Checking for Out-of-Order Superscalar Processors
  • 2020
  • Ingår i: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. - : IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. - 0278-0070 .- 1937-4151. ; 39:3, s. 714-727
  • Tidskriftsartikel (refereegranskat)abstract
    • Generating functional tests for processors has been a challenging problem for decades in the very large-scale integration testing field. This paper presents a method that generates software-based self-tests by leveraging bounded model checking (BMC) techniques and targeting, for the first time, out-of-order [out-of-order execution (OOE)] superscalar processors. To combat the state-space explosion associated with BMC, the proposed method starts by combining module-level abstraction-refinement with slicing to reduce the size of the model under verification. Next, an off-the-shelf BMC solver is used on the obtained extended finite-state machines to generate the leading sequences that are necessary to excite internal processor functions. Finally, constrained automatic test-pattern generation is used to cover all structural faults within every function excited by the obtained leading sequences. Experimental results show that the proposed method leads to extremely high fault coverage on the critical components corresponding to OOE operations in functional mode. The method therefore helps in tackling the over-testing problem that is inherent to the full-scan test approach.
  •  
6.
  • Zhang, Ying, et al. (författare)
  • Temperature-Aware Software-Based Self-Testing for Delay Faults
  • 2015
  • Ingår i: <em>Proc. Design, Automation and Test in Europe Conference (DATE’15), Grenoble, France, Mar. 9-13, 2015.</em>. - 9783981537048
  • Konferensbidrag (refereegranskat)abstract
    • Delay defects under high temperature have been one of the most critical factors to affect the reliability of computer systems, and the current test methods don’t address this problem properly. In this paper, a temperature-aware software-based selftesting (SBST) technique is proposed to self-heat the processors within a high temperature range and effectively test delay faults under high temperature. First, it automatically generates highquality test programs through automatic test instruction generation (ATIG), and avoids over-testing caused by nonfunctional patterns. Second, it exploits two effective powerintensive program transformations to self-heat up the processors internally. Third, it applies a greedy algorithm to search the optimized schedule of the test templates in order to generate the test program while making sure that the temperature of the processor under test is within the specified range. Experimental results show that the generated program is successful to guarantee delay test within the given temperature range, and achieves high test performance with functional patterns.
  •  
7.
  • Zou, Yangfan, et al. (författare)
  • Characterizations of dissimilar refill friction stir spot welding 2219 aluminum alloy joints of unequal thickness
  • 2022
  • Ingår i: JOURNAL OF MANUFACTURING PROCESSES. - : ELSEVIER SCI LTD. - 1526-6125. ; 79, s. 91-101
  • Tidskriftsartikel (refereegranskat)abstract
    • Refill friction stir spot welding (RFSSW) is a relatively new solid-state spot welding technology. Reliability of the joint is mainly affected by the macroscopic characteristics and microstructure evolution. This method can be applied to weld plates with different thicknesses. However, the temperature distribution, microstructure and mechanical properties of joints with different thicknesses remain unclear. In the present study, 2 mm thick 2219-O aluminum alloy plates were successfully welded to 2219-C10S plates of various thicknesses (4 mm, 10 mm and 14 mm) via the RFSSW method. The effect of lower 2219-C10S plate thickness on the temperature distribution, microstructure, mechanical properties and fracture behavior of RFSSWed joints was evaluated by both experi-mental and simulation methods. The results indicated that the peak temperature decreased, and the isothermal zone became larger as the thickness of the lower plate increased. A relatively flat hook configuration could be identified in the cross-section of the joint fabricated using a 4 mm thick lower plate, while an upward hook was observed for the joints fabricated using a 10 and 14 mm thick lower plate. Lower plate thickness scarcely affected hardness of the upper plate, but HAZ width of the lower plate becomes narrower with increasing lower sheet thickness. The lap-shear load of the joints fabricated using a lower plate thicknesses of 4, 10 and 14 mm was 7.4 +/- 0.3 kN, 6.7 +/- 0.2 kN, and 6.4 +/- 0.4 kN, respectively, all of them failed as a plug fracture mode. According to the simulation results, tensile and compressive stresses were mainly distributed along the direction of the force on both sides of the weld nugget. While shear stress was primarily distributed in the direction perpendicular to the external force. Furthermore, bending stress was also identified in the joints, which increased with the in -crease of the lower plate thickness.
  •  
8.
  • Zuo, Hongyu, et al. (författare)
  • Bioinspired Gradient Covalent Organic Framework Membranes for Ultrafast and Asymmetric Solvent Transport
  • 2024
  • Ingår i: Advanced Materials. - 0935-9648 .- 1521-4095. ; 36:16
  • Tidskriftsartikel (refereegranskat)abstract
    • Gradients play a pivotal role in membrane technologies, e.g., osmotic energy conversion, desalination, biomimetic actuation, selective separation, and more. In these applications, the compositional gradients are of great relevance for successful function implementation, ranging from solvent separation to smart devices; However, the construction of functional gradient in membranes is still challenging both in scale and directions. Inspired by the specific function-related, graded porous structures in glomerular filtration membranes, a general approach for constructing gradient covalent organic framework membranes (GCOMx) applying poly (ionic liquid)s (PILs) as template is reported here. With graded distribution of highly porous covalent organic framework (COF) crystals along the membrane, GCOMx exhibts an unprecedented asymmetric solvent transport when applying different membrane sides as the solvent feed surface during filtration, leading to a much-enhanced flux (10–18 times) of the “large-to-small” pore flow comparing to the reverse direction, verified by hydromechanical theoretical calculations. Upon systematic experiments, GCOMx achieves superior permeance in nonpolar (hexane ≈260.45 LMH bar−1) and polar (methanol ≈175.93 LMH bar−1) solvents, together with narrow molecular weight cut-off (MWCO, 472 g mol−1) and molecular weight retention onset (MWRO, <182 g mol−1). Interestingly, GCOMx shows significant filtration performance in simulated kidney dialysis, revealing great potential of GCOMx in bionic applications. 
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-8 av 8

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy