SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Pericas Miquel 1979) "

Sökning: WFRF:(Pericas Miquel 1979)

  • Resultat 1-10 av 47
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Pericas, Miquel, 1979, et al. (författare)
  • Preface
  • 2022
  • Ingår i: Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors. - 1063-6862. ; 2022-July, s. IX-
  • Konferensbidrag (övrigt vetenskapligt/konstnärligt)
  •  
2.
  • Tzilis, Stavros, 1982, et al. (författare)
  • SWAS: Stealing Work Using Approximate System-Load Information
  • 2017
  • Ingår i: 46th International Conference on Parallel Processing Workshops, ICPPW 2017, Bristol, United Kingdom, 14 August 2017. - 1530-2016. ; , s. 309-318
  • Konferensbidrag (refereegranskat)abstract
    • This paper explores the potential of utilizing approximate system load information to enhance work stealing for dynamic load balancing in hierarchical multicore systems. Maintaining information about the load of a system has not been extensively researched since it is assumed to introduce performance overheads. We propose SWAS, a lightweight approximate scheme for retrieving and using such information, based on compact bit vector structures and lightweight update operations. This approximate information is used to enhance the effectiveness of work stealing decisions. Evaluating SWAS for a number of representative scenarios on a multi-socket multi-core platform showed that work stealing guided by approximate system load information achieves considerable performance improvements: up to 18.5% for dynamic, severely imbalanced workloads; and up to 34.4% for workloads with complex task dependencies, when compared with random work stealing.
  •  
3.
  • Amer, Abdelhalim, et al. (författare)
  • Scaling FMM with data-driven OpenMP tasks on multicore architectures
  • 2016
  • Ingår i: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). - Cham : Springer International Publishing. - 1611-3349 .- 0302-9743. ; 9903 LNCS, s. 156-170
  • Tidskriftsartikel (refereegranskat)abstract
    • Poor scalability on parallel architectures can be attributed to several factors, among which idle times, data movement, and runtime overhead are predominant. Conventional parallel loops and nested parallelism have proved successful for regular computational patterns. For more complex and irregular cases, however, these methods often perform poorly because they consider only a subset of these costs. Although data-driven methods are gaining popularity for efficiently utilizing computational cores, their data movement and runtime costs can be prohibitive for highly dynamic and irregular algorithms, such as fast multipole methods (FMMs). Furthermore, loop tiling, a technique that promotes data locality and has been successful for regular parallel methods, has received little attention in the context of dynamic and irregular parallelism. We present a method to exploit loop tiling in data-driven parallel methods. Here, we specify a methodology to spawn work units characterized by a high data locality potential. Work units operate on tiled computational patterns and serve as building blocks in an OpenMP task-based data-driven execution. In particular, by the adjusting work unit granularity, idle times and runtime overheads are also taken into account. We apply this method to a popular FMM implementation and show that, with careful tuning, the new method outperforms existing parallel-loop and user-level thread-based implementations by up to fourfold on 48 cores.
  •  
4.
  • Azhar, Muhammad Waqar, 1986, et al. (författare)
  • SaC: Exploiting execution-time slack to save energy in heterogeneous multicore systems
  • 2019
  • Ingår i: ACM International Conference Proceeding Series. - New York, NY, USA : ACM.
  • Konferensbidrag (refereegranskat)abstract
    • Reducing the energy to carry out computational tasks is key to almost any computing application. We focus in this paper on iterative applications that have explicit computational deadlines per iteration. Our objective is to meet the computational deadlines while minimizing energy. We leverage the vast configuration space offered by heterogeneous multicore platforms which typically expose three dimensions for energy saving configurability: Voltage/frequency levels, thread count and core type (e.g. ARM big/LITTLE). We note that when choosing the most energy-efficient configuration that meets the computational deadline, an iteration will typically finish before the deadline and execution-time slack will build up across iterations. Our proposed slack management policy - SaC (Slack as a Currency) - proactively explores the configuration space to select configurations that can save substantial amounts of energy. To avoid the overheads of an exhaustive search of the configuration space, our proposal also comprises a low-overhead, on-line method by which one can assess each point in the configuration space by linearly interpolating between the endpoints in each configuration-space dimension. Overall, we show that our proposed slack management policy and linear-interpolation configuration assessment method can yield 62% energy savings on top of race-to-idle without missing any deadlines.
  •  
5.
  • Azhar, Muhammad Waqar, 1986, et al. (författare)
  • Task-RM: A Resource Manager for Energy Reduction in Task-Parallel Applications under Quality of Service Constraints
  • 2022
  • Ingår i: Transactions on Architecture and Code Optimization. - : Association for Computing Machinery (ACM). - 1544-3973 .- 1544-3566. ; 19:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Improving energy efficiency is an important goal of computer system design. This article focuses on a general model of task-parallel applications under quality-of-service requirements on the completion time. Our technique, called Task-RM, exploits the variance in task execution-times and imbalance between tasks to allocate just enough resources in terms of voltage-frequency and core-allocation so that the application completes before the deadline. Moreover, we provide a solution that can harness additional energy savings with the availability of additional processors. We observe that, for the proposed run-time resource manager to allocate resources, it requires specification of the soft deadlines to the tasks. This is accomplished by analyzing the energy-saving scenarios offline and by providing Task-RM with the performance requirements of the tasks. The evaluation shows an energy saving of 33% compared to race-to-idle and 22% compared to dynamic slack allocation (DSA) with an overhead of less than 1%.
  •  
6.
  • Cano, José, et al. (författare)
  • Preface
  • 2023
  • Ingår i: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). - : De Gruyter. - 1611-3349 .- 0302-9743. ; 14100 LNCS, s. v-vii
  • Konferensbidrag (övrigt vetenskapligt/konstnärligt)
  •  
7.
  • Chen, Jing, 1995, et al. (författare)
  • ERASE: Energy Efficient Task Mapping and Resource Management for Work Stealing Runtimes
  • 2022
  • Ingår i: Transactions on Architecture and Code Optimization. - : Association for Computing Machinery (ACM). - 1544-3973 .- 1544-3566. ; 19:2
  • Tidskriftsartikel (refereegranskat)abstract
    • Parallel applications often rely on work stealing schedulers in combination with fine-grained tasking to achieve high performance and scalability. However, reducing the total energy consumption in the context of work stealing runtimes is still challenging, particularly when using asymmetric architectures with different types of CPU cores. A common approach for energy savings involves dynamic voltage and frequency scaling (DVFS) wherein throttling is carried out based on factors like task parallelism, stealing relations, and task criticality. This article makes the following observations: (i) leveraging DVFS on a per-task basis is impractical when using fine-grained tasking and in environments with cluster/chip-level DVFS; (ii) task moldability, wherein a single task can execute on multiple threads/cores via work-sharing, can help to reduce energy consumption; and (iii) mismatch between tasks and assigned resources (i.e., core type and number of cores) can detrimentally impact energy consumption. In this article, we propose EneRgy Aware SchedulEr (ERASE), an intra-application task scheduler on top of work stealing runtimes that aims to reduce the total energy consumption of parallel applications. It achieves energy savings by guiding scheduling decisions based on per-task energy consumption predictions of different resource configurations. In addition, ERASE is capable of adapting to both given static frequency settings and externally controlled DVFS. Overall, ERASE achieves up to 31% energy savings and improves performance by 44% on average, compared to the state-of-the-art DVFS-based schedulers.
  •  
8.
  • Chen, Jing, 1995, et al. (författare)
  • JOSS: Joint Exploration of CPU-Memory DVFS and Task Scheduling for Energy Efficiency
  • 2023
  • Ingår i: 52nd International Conference on Parallel Processing (ICPP 2023). - 0000-0000. - 9798400708435 ; , s. 828-838
  • Konferensbidrag (refereegranskat)abstract
    • Energy-efficient execution of task-based parallel applications is crucial as tasking is a widely supported feature in many parallel programming libraries and runtimes. Currently, state-of-the-art proposals primarily rely on leveraging core asymmetry and CPU DVFS. Additionally, these proposals mostly use heuristics and lack the ability to explore the trade-offs between energy usage and performance. However, our findings demonstrate that focusing solely on CPU energy consumption for energy-efficient scheduling while neglecting memory energy consumption leaves room for further energy savings. We propose JOSS, a runtime scheduling framework that leverages both CPU DVFS and memory DVFS in conjunction with core asymmetry and task characteristics to enable energy-efficient execution of task-based applications. JOSS also enables the exploration of energy and performance trade-offs by supporting user-defined performance constraints. JOSS uses a set of models to predict task execution time, CPU and memory power consumption, and then selects the configuration for the tunable knobs to achieve the desired energy performance trade-off. Our evaluation shows that JOSS achieves 21.2% energy reduction, on average, compared to the state-of-the-art. Moreover, we demonstrate that even in the absence of a memory DVFS knob, taking energy consumption of both CPU and memory into account achieves better energy savings compared to only accounting for CPU energy. Furthermore, JOSS is able to adapt scheduling to reduce energy consumption while satisfying the desired performance constraints.
  •  
9.
  • Chen, Jing, 1995, et al. (författare)
  • Scheduling Task-parallel Applications in Dynamically Asymmetric Environments
  • 2020
  • Ingår i: ACM International Conference Proceeding Series. - New York, NY, USA : ACM.
  • Konferensbidrag (refereegranskat)abstract
    • Shared resource interference is observed by applications as dynamic performance asymmetry. Prior art has developed approaches to reduce the impact of performance asymmetry mainly at the operating system and architectural levels. In this work, we study how application-level scheduling techniques can leverage moldability (i.e. flexibility to work as either single-threaded or multithreaded task) and explicit knowledge on task criticality to handle scenarios in which system performance is not only unknown but also changing over time. Our proposed task scheduler dynamically learns the performance characteristics of the underlying platform and uses this knowledge to devise better schedules aware of dynamic performance asymmetry, hence reducing the impact of interference. Our evaluation shows that both criticality-aware scheduling and parallelism tuning are effective schemes to address interference in both shared and distributed memory applications.
  •  
10.
  • Chen, Jing, 1995, et al. (författare)
  • STEER: Asymmetry-aware Energy Efficient Task Scheduler for Cluster-based Multicore Architectures
  • 2022
  • Ingår i: Proceedings - Symposium on Computer Architecture and High Performance Computing. - 1550-6533. ; , s. 326-335
  • Konferensbidrag (refereegranskat)abstract
    • Reducing the energy consumption of parallel applications is becoming increasingly important. Current chip multiprocessors (CMPs) incorporate asymmetric cores (i.e. static asymmetry) and DVFS (i.e. dynamic asymmetry) to enable energy efficient execution. To reduce cost and complexity, designs typically organize asymmetric cores into core-clusters supporting the same DVFS setting across cores in a cluster. Recent approaches that focus on energy efficient scheduling of task-based parallel applications predominantly rely on dynamic asymmetry, particularly per-core DVFS, for reducing energy. More importantly, they do not consider the impact of task heterogeneity (i.e. varying task characteristics, intra-task parallelism and task granularity) in conjunction with the dynamic and static asymmetries provided by the platform. Together, these provide significant opportunities for further energy savings. In this work we propose STEER, a framework that enables energy efficient execution of task-based parallel applications by leveraging static asymmetry, dynamic asymmetry and task heterogeneity. STEER utilizes a combination of models and heuristics to predict the execution time and power consumption and determine core type, number of cores and frequency for running tasks. Our evaluation shows that STEER achieves 38% energy reduction on average compared to the state-of-the-art approaches.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 47
Typ av publikation
konferensbidrag (34)
tidskriftsartikel (10)
rapport (3)
Typ av innehåll
refereegranskat (41)
övrigt vetenskapligt/konstnärligt (6)
Författare/redaktör
Pericas, Miquel, 197 ... (47)
Manivannan, Madhavan ... (19)
Stenström, Per, 1957 (14)
Chen, Jing, 1995 (7)
Papaefstathiou, Vasi ... (6)
Abduljabbar, Mustafa ... (5)
visa fler...
Soomro, Pirah Noor, ... (5)
Petersen Moura Tranc ... (4)
Papadopoulou, Nikela ... (4)
Goel, Bhavishya, 198 ... (3)
Taura, K. (3)
Fetzer, Christof (3)
Gupta, Sonia Rani, 1 ... (3)
Kaiser, Martin (2)
Sourdis, Ioannis, 19 ... (2)
Hagemeyer, Jens (2)
Kucza, Nils (2)
Matsuoka, S. (2)
Cristal, Adrian (2)
Azhar, Muhammad Waqa ... (2)
McKee, Sally A, 1963 (2)
Alvarez, Carlos (2)
Holtryd, Nadja, 1988 (2)
Felber, Pascal (2)
Unsal, Osman S. (2)
Martorell, Xavier (2)
Carpenter, Paul (2)
De La Cruz, Raul (2)
Bautista, Leonardo (2)
Jimenez, Daniel (2)
Salami, Behzad (2)
Madonar, Sergi (2)
Billung-Meyer, Gunna ... (2)
Krupop, Stefan (2)
Christmann, Wolfgang (2)
Klawonn, Frank (2)
Mihklafi, Amani (2)
Becker, Tobias (2)
Gaydadjiev, Georgi (2)
Salomonsson, Hans (2)
Dubhashi, Devdatt (2)
Port, Oron (2)
Etsion, Yoav (2)
Jungeblut, Thorsten (2)
Porrmann, Mario (2)
Pasin, Marcelo (2)
Schiavoni, Valerio (2)
Rocha, Isabelly (2)
Gottel, Christian (2)
Dickov, B. (2)
visa färre...
Lärosäte
Chalmers tekniska högskola (47)
Kungliga Tekniska Högskolan (1)
Språk
Engelska (47)
Forskningsämne (UKÄ/SCB)
Naturvetenskap (40)
Teknik (34)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy