SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Sakdinawat Anne) "

Sökning: WFRF:(Sakdinawat Anne)

  • Resultat 1-6 av 6
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Akan, Rabia, et al. (författare)
  • Metal-Assisted Chemical Etching and Electroless Deposition for Fabrication of Hard X-ray Pd/Si Zone Plates
  • 2020
  • Ingår i: Micromachines. - : MDPI. - 2072-666X. ; 11:3
  • Tidskriftsartikel (refereegranskat)abstract
    • Zone plates are diffractive optics commonly used in X-ray microscopes. Here, we present a wet-chemical approach for fabricating high aspect ratio Pd/Si zone plate optics aimed at the hard X-ray regime. A Si zone plate mold is fabricated via metal-assisted chemical etching (MACE) and further metalized with Pd via electroless deposition (ELD). MACE results in vertical Si zones with high aspect ratios. The observed MACE rate with our zone plate design is 700 nm/min. The ELD metallization yields a Pd density of 10.7 g/cm3, a value slightly lower than the theoretical density of 12 g/cm3. Fabricated zone plates have a grid design, 1:1 line-to-space-ratio, 30 nm outermost zone width, and an aspect ratio of 30:1. At 9 keV X-ray energy, the zone plate device shows a first order diffraction efficiency of 1.9%, measured at the MAX IV NanoMAX beamline. With this work, the possibility is opened to fabricate X-ray zone plates with low-cost etching and metallization methods.
  •  
2.
  • Brizuela, Fernando, et al. (författare)
  • Extreme ultraviolet laser-based table-top aerial image metrology of lithographic masks
  • 2010
  • Ingår i: Optics Express. - : Optical Society of America. - 1094-4087. ; 18:14, s. 14467-14473
  • Tidskriftsartikel (refereegranskat)abstract
    • We have realized the first demonstration of a table-top aerial imaging microscope capable of characterizing pattern and defect printability in extreme ultraviolet lithography masks. The microscope combines the output of a 13.2 nm wavelength, table-top, plasma-based, EUV laser with zone plate optics to mimic the imaging conditions of an EUV lithographic stepper. We have characterized the illumination of the system and performed line-edge roughness measurements on an EUVL mask. The results open a path for the development of a compact aerial imaging microscope for high-volume manufacturing.
  •  
3.
  • Brizuela, Fernando, et al. (författare)
  • Table-top Extreme Ultraviolet Laser Aerial Imaging of Lithographic Masks
  • 2010
  • Ingår i: 2010 CONFERENCE ON LASERS AND ELECTRO-OPTICS (CLEO) AND QUANTUM ELECTRONICS AND LASER SCIENCE CONFERENCE (QELS). - 9781557528902
  • Konferensbidrag (refereegranskat)abstract
    • We report the first at-wavelength line edge roughness measurements of patterned EUV lithography masks realized using a table-top aerial imaging system based on a table-top lambda=13.2 laser.
  •  
4.
  • Hau-Riege, Stefan P., et al. (författare)
  • Sacrificial Tamper Slows Down Sample Explosion in FLASH Diffraction Experiments
  • 2010
  • Ingår i: Physical Review Letters. - 0031-9007 .- 1079-7114. ; 104:6, s. 064801-
  • Tidskriftsartikel (refereegranskat)abstract
    • Intense and ultrashort x-ray pulses from free-electron lasers open up the possibility for near-atomic resolution imaging without the need for crystallization. Such experiments require high photon fluences and pulses shorter than the time to destroy the sample. We describe results with a new femtosecond pump-probe diffraction technique employing coherent 0.1 keV x rays from the FLASH soft x-ray free-electron laser. We show that the lifetime of a nanostructured sample can be extended to several picoseconds by a tamper layer to dampen and quench the sample explosion, making <1 nm resolution imaging feasible.
  •  
5.
  • Marchesini, Stefano, et al. (författare)
  • Massively parallel X-ray holography
  • 2008
  • Ingår i: Nature Photonics. - : Springer Science and Business Media LLC. - 1749-4885 .- 1749-4893. ; 2:9, s. 560-563
  • Tidskriftsartikel (refereegranskat)abstract
    • Advances in the development of free-electron lasers offer the realistic prospect of nanoscale imaging on the timescale of atomic motions. We identify X-ray Fourier-transform holography(1,2,3) as a promising but, so far, inefficient scheme to do this. We show that a uniformly redundant array(4) placed next to the sample, multiplies the efficiency of X-ray Fourier transform holography by more than three orders of magnitude, approaching that of a perfect lens, and provides holographic images with both amplitude-and phase-contrast information. The experiments reported here demonstrate this concept by imaging a nano-fabricated object at a synchrotron source, and a bacterial cell with a soft-X-ray free-electron laser, where illumination by a single 15-fs pulse was successfully used in producing the holographic image. As X-ray lasers move to shorter wavelengths we expect to obtain higher spatial resolution ultrafast movies of transient states of matter.
  •  
6.
  • Parfeniukas, Karolis (författare)
  • High-Aspect Ratio Nanofabrication for Hard X-Ray Zone Plates
  • 2018
  • Doktorsavhandling (övrigt vetenskapligt/konstnärligt)abstract
    • Hard x-ray nanoimaging enables structural investigations of new materials for many applications. For high-resolution experiments, zone plate x-ray optics are commonly chosen.Two methods of zone plate nanofabrication are presented in this thesis.Zone plates are circular diffraction gratings with radially decreasing grating period. Their optical resolution depends on the width of the smallest zone, which nowadays can be around 10 nanometers. However, the efficiency of a zone plate depends on its thickness and its material. For hard x-rays, the optimal zone plate thickness is in the order of micrometers. Therefore, high aspect ratio nanofabrication processes are needed.Two such methods are investigated in this study.First, an existing tungsten nanofabrication process based on reactive ion etching (RIE) was extended to 22:1 aspect ratio structures at 30~nm line width. The core improvement was a resist curing step that enhanced pattern transfer during RIE. Such a zone plate with 200 micrometer diameter and 2.2% efficiency was used in the commissioning experiment of NanoMAX, the nanoimaging beamline at the Swedish synchrotron facility MAX IV. Transmission imaging with 40 nm resolution, as well as the fluorescence imaging modality were demonstrated.Second, metal-assisted chemical etching (MACE) of silicon using gold catalyst patterns was investigated. MACE dependence on gold pattern geometry, etching solution composition, temperature, and substrate doping is described. The process is characterized in terms of etching rate, directionality, and nanostructure surface roughness.Finally, the Ronchi test is presented as a way to quickly judge the performance of x-ray optics in terms of present aberrations and x-ray sources in terms of coherence.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-6 av 6

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy