SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Sourdis Ioannis 1979) "

Sökning: WFRF:(Sourdis Ioannis 1979)

  • Resultat 1-10 av 65
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Alvarez, Lluc, et al. (författare)
  • eProcessor: European, Extendable, Energy-Efficient, Extreme-Scale, Extensible, Processor Ecosystem
  • 2023
  • Ingår i: Proceedings of the 20th ACM International Conference on Computing Frontiers 2023, CF 2023. ; , s. 309-314
  • Konferensbidrag (refereegranskat)abstract
    • The eProcessor project aims at creating a RISC-V full stack ecosystem. The eProcessor architecture combines a high-performance out-of-order core with energy-efficient accelerators for vector processing and artificial intelligence with reduced-precision functional units. The design of this architecture follows a hardware/software co-design approach with relevant application use cases from the high-performance computing, bioinformatics and artificial intelligence domains. Two eProcessor prototypes will be developed based on two fabricated eProcessor ASICs integrated into a computer-on-module.
  •  
2.
  • Brokalakis, A., et al. (författare)
  • COSSIM: An open-source integrated solution to address the simulator gap for systems of systems
  • 2018
  • Ingår i: Proceedings - 21st Euromicro Conference on Digital System Design, DSD 2018. - 9781538673768 ; , s. 115-120
  • Konferensbidrag (refereegranskat)abstract
    • In an era of complex networked heterogeneous systems, simulating independently only parts, components or attributes of a system under design is not a viable, accurate or efficient option. The interactions are too many and too complicated to produce meaningful results and the optimization opportunities are severely limited when considering each part of a system in an isolated manner. The presented COSSIM simulation framework is the first known open-source, high-performance simulator that can handle holistically system-of-systems including processors, peripherals and networks; such an approach is very appealing to both CPS/IoT and Highly Parallel Heterogeneous Systems designers and application developers. Our highly integrated approach is further augmented with accurate power estimation and security sub-tools that can tap on all system components and perform security and robustness analysis of the overall networked system. Additionally, a GUI has been developed to provide easy simulation set-up, execution and visualization of results. COSSIM has been evaluated using real-world applications representing cloud (mobile visual search) and CPS systems (building management) demonstrating high accuracy and performance that scales almost linearly with the number of CPUs dedicated to the simulator.
  •  
3.
  • Mavroidis, Iakovos, et al. (författare)
  • ECOSCALE: Reconfigurable computing and runtime system for future exascale systems
  • 2016
  • Ingår i: 19th Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, Dresden, Germany, 14-18 March 2016. - 1530-1591. - 9783981537062 ; , s. 696-701
  • Konferensbidrag (refereegranskat)abstract
    • In order to reach exascale performance, current HPC systems need to be improved. Simple hardware scaling is not a feasible solution due to the increasing utility costs and power consumption limitations. Apart from improvements in implementation technology, what is needed is to refine the HPC application development flow as well as the system architecture of future HPC systems. ECOSCALE tackles these challenges by proposing a scalable programming environment and architecture, aiming to substantially reduce energy consumption as well as data traffic and latency. ECOSCALE introduces a novel heterogeneous energy-efficient hierarchical architecture, as well as a hybrid many-core+OpenCL programming environment and runtime system. The ECOSCALE approach is hierarchical and is expected to scale well by partitioning the physical system into multiple independent Workers (i.e. compute nodes). Workers are interconnected in a tree-like fashion and define a contiguous global address space that can be viewed either as a set of partitions in a Partitioned Global Address Space (PGAS), or as a set of nodes hierarchically interconnected via an MPI protocol. To further increase energy efficiency, as well as to provide resilience, the Workers employ reconfigurable accelerators mapped into the virtual address space utilizing a dual stage System Memory Management Unit with coherent memory access. The architecture supports shared partitioned reconfigurable resources accessed by any Worker in a PGAS partition, as well as automated hardware synthesis of these resources from an OpenCL-based programming model.
  •  
4.
  • Pericas, Miquel, 1979, et al. (författare)
  • Preface
  • 2022
  • Ingår i: Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors. - 1063-6862. ; 2022-July, s. IX-
  • Konferensbidrag (övrigt vetenskapligt/konstnärligt)
  •  
5.
  • Ramakrishnan Geethakumari, Prajith, 1986, et al. (författare)
  • Single Window Stream Aggregation using Reconfigurable Hardware
  • 2017
  • Ingår i: 2017 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE TECHNOLOGY (ICFPT). - 9781538626566 ; , s. 112-119
  • Konferensbidrag (refereegranskat)abstract
    • High throughput and low latency stream aggregation - and stream processing in general - is critical for many emerging applications that analyze massive volumes of continuously produced data on-the-fly, to make real time decisions. In many cases, high speed stream aggregation can be achieved incrementally by computing partial results for multiple windows. However, for particular problems, storing all incoming raw data to a single window before processing is more efficient or even the only option. This paper presents the first FPGA-based single window stream aggregation design. Using Maxeler's dataflow engines (DFEs), up to 8 million tuples-per-second can be processed (1.1 Gbps) offering 1-2 orders of magnitude higher throughput than a state-of-the-art stream processing software system. DFEs have a direct feed of incoming data from the network as well as direct access to off-chip DRAM processing a tuple in less than 4 mu sec, 4 orders of magnitude lower latency than software. The proposed approach is able to support challenging queries required in realistic stream processing problems (e.g. holistic functions). Our design offers aggregation for up to 1 million concurrently active keys and handles large windows storing up to 6144 values (24 KB) per key.
  •  
6.
  • Tzilis, Stavros, 1982, et al. (författare)
  • SWAS: Stealing Work Using Approximate System-Load Information
  • 2017
  • Ingår i: 46th International Conference on Parallel Processing Workshops, ICPPW 2017, Bristol, United Kingdom, 14 August 2017. - 1530-2016. ; , s. 309-318
  • Konferensbidrag (refereegranskat)abstract
    • This paper explores the potential of utilizing approximate system load information to enhance work stealing for dynamic load balancing in hierarchical multicore systems. Maintaining information about the load of a system has not been extensively researched since it is assumed to introduce performance overheads. We propose SWAS, a lightweight approximate scheme for retrieving and using such information, based on compact bit vector structures and lightweight update operations. This approximate information is used to enhance the effectiveness of work stealing decisions. Evaluating SWAS for a number of representative scenarios on a multi-socket multi-core platform showed that work stealing guided by approximate system load information achieves considerable performance improvements: up to 18.5% for dynamic, severely imbalanced workloads; and up to 34.4% for workloads with complex task dependencies, when compared with random work stealing.
  •  
7.
  • Athanasopoulos, E., et al. (författare)
  • Increasing the Trustworthiness of Embedded Applications
  • 2015
  • Ingår i: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). - Cham : Springer International Publishing. - 1611-3349 .- 0302-9743. ; 9229, s. 321-322
  • Konferensbidrag (refereegranskat)abstract
    • Embedded systems, by their nature, often run unattended with opportunistic rather then scheduled software upgrades and, perhaps most significantly, have long operational lifetimes, and, hence, provide excellent targets for massive and remote exploitation. Thus, such systems mandate higher assurances of trust and cyber-security compared to those presently available in State-of-the-Art ICT systems. In this poster we present some techniques we utilize in the SHARCS project to ensure a higher level of security for embedded systems.
  •  
8.
  • Athanasopoulos, E., et al. (författare)
  • Secure hardware-software architectures for robust computing systems
  • 2015
  • Ingår i: Communications in Computer and Information Science. - Cham : Springer International Publishing. - 1865-0937 .- 1865-0929. - 9783319271637 ; 570, s. 209-212
  • Konferensbidrag (refereegranskat)abstract
    • The Horizon 2020 SHARCS project is a framework for designing, building and demonstrating secure-by-design applications and services, that achieve end-to-end security for their users. In this paper we present the basic elements of SHARCS that will provide a powerful foundation for designing and developing trustworthy, secure-by-design applications and services for the Future Internet.
  •  
9.
  • Ejaz, Ahsen, 1986, et al. (författare)
  • DDRNoC: Dual Data-Rate Network-on-Chip
  • 2017
  • Rapport (övrigt vetenskapligt/konstnärligt)abstract
    • This paper introduces DDRNoC, an on-chip interconnection network able to route packets at Dual Data Rate. The cycle time of current 2D-mesh Network-on-Chip routers is limited by their control as opposed to the datapath (switch and link traversal) which exhibits significant slack. DDRNoC capitalizes on this observation allowing two flits per cycle to share the same datapath. Thereby, DDRNoC achieves higher throughput than a Single Data Rate (SDR) network. Alternatively, using lower voltage circuits, the above slack can be exploited to reduce power consumption while matching the SDR network throughput. In addition, DDRNoC exhibits reduced clock distribution power, improving energy efficiency, as it needs a slower clock than a SDR network that routes packets at the same rate. Post place and route results in 28 nm technology show that, compared to an iso-voltage (1.1V) SDR network, DDRNoC improves throughput proportionally to the SDR datapath slack. Moreover, a low-voltage (0.95V) DDRNoC implementation converts that slack to power reduction offering the 1.1V SDR throughput at a substantially lower energy cost.
  •  
10.
  • Ejaz, Ahsen, 1986, et al. (författare)
  • DDRNoC: Dual Data-Rate Network-on-Chip
  • 2018
  • Ingår i: Transactions on Architecture and Code Optimization. - : Association for Computing Machinery (ACM). - 1544-3973 .- 1544-3566. ; 15:2
  • Tidskriftsartikel (refereegranskat)abstract
    • This article introduces DDRNoC, an on-chip interconnection network capable of routing packets at Dual Data Rate. The cycle time of current 2D-mesh Network-on-Chip routers is limited by their control as opposed to the datapath (switch and link traversal), which exhibits significant slack. DDRNoC capitalizes on this observation, allowing two flits per cycle to share the same datapath. Thereby, DDRNoC achieves higher throughput than a Single Data Rate (SDR) network. Alternatively, using lower voltage circuits, the above slack can be exploited to reduce power consumption while matching the SDR network throughput. In addition, DDRNoC exhibits reduced clock distribution power, improving energy efficiency, as it needs a slower clock than a SDR network that routes packets at the same rate. Post place and route results in 28nm technology show that, compared to an iso-voltage (1.1V) SDR network, DDRNoC improves throughput proportionally to the SDR datapath slack. Moreover, a low-voltage (0.95V) DDRNoC implementation converts that slack to power reduction offering the 1.1V SDR throughput at a substantially lower energy cost.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 65
Typ av publikation
konferensbidrag (43)
tidskriftsartikel (18)
rapport (3)
bokkapitel (1)
Typ av innehåll
refereegranskat (58)
övrigt vetenskapligt/konstnärligt (7)
Författare/redaktör
Sourdis, Ioannis, 19 ... (65)
Strydis, C. (21)
Petersen Moura Tranc ... (13)
Papaefstathiou, Vasi ... (10)
Ejaz, Ahsen, 1986 (7)
Papaefstathiou, Ioan ... (3)
visa fler...
Soudris, D. (3)
Gulisano, Vincenzo M ... (2)
Pericas, Miquel, 197 ... (2)
Thomson, J. (2)
Arelakis, Angelos, 1 ... (2)
Athanasopoulos, E. (2)
Boehner, M. (2)
Giuffrida, C. (2)
Pidan, D. (2)
Prevelakis, V. (2)
Peris-Lopez, P. (2)
Sun, L. (1)
Davies, C (1)
Alvarez, Lluc (1)
Ruiz, Abraham (1)
Bigas-Soldevilla, Ar ... (1)
Kuroedov, Pavel (1)
Gonzalez, Alberto (1)
Mahale, Hamsika (1)
Bustamante, Noe (1)
Aguilera, Albert (1)
Minervini, Francesco (1)
Salamero, Javier (1)
Palomar, Oscar (1)
Psathakis, A. (1)
Dimou, Nikolaos (1)
Giaourtas, Michalis (1)
Mastorakis, Iasonas (1)
Ieronymakis, Georgio ... (1)
Matzouranis, Georgio ... (1)
Flouris, Vasilis (1)
Kossifidis, Nick (1)
Marazakis, Manolis (1)
Goel, Bhavishya, 198 ... (1)
Manivannan, Madhavan ... (1)
Strikos, Panagiotis, ... (1)
Vázquez Maceiras, Ma ... (1)
Stenström, Per, 1957 (1)
Hagemeyer, Jens (1)
Tigges, L. (1)
Kucza, Nils (1)
Philippe, Jean Marc (1)
Ioannidis, S. (1)
Alvarez, Carlos (1)
visa färre...
Lärosäte
Chalmers tekniska högskola (65)
Språk
Engelska (65)
Forskningsämne (UKÄ/SCB)
Naturvetenskap (54)
Teknik (30)
Medicin och hälsovetenskap (2)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy