SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "L773:0361 5235 OR L773:1543 186X "

Search: L773:0361 5235 OR L773:1543 186X

  • Result 1-50 of 87
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • Aggerstam, Thomas, et al. (author)
  • Electron and hole capture cross-sections of Fe acceptors in GaN:Fe epitaxially grown on sapphire
  • 2007
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 36:12, s. 1621-1624
  • Journal article (peer-reviewed)abstract
    • Carrier trapping of Fe (3+)/Fe2+ deep acceptors in epitaxially grown GaN:Fe on sapphire was studied by time-resolved photoluminescence. For the investigated Fe doping levels on the order of 10(18) cm(-3), the luminescence decay times are strongly dependent on the Fe concentration, indicating that Fe centers act as predominant nonradiative recombination channels. Linear dependence of the decay time on the iron concentration allows estimation of the electron capture cross-section for the Fe3+ ions, which is equal to 1.9 x 10(-15) cm(2). The upper bound for the cross-section of the hole capture of Fe2+ was evaluated as 10 x 10(-15) cm
  •  
2.
  • Ahlberg, Patrik, 1985-, et al. (author)
  • Interface Dependent Effective Mobility in Graphene Field Effect Transistors
  • 2018
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 47:3, s. 1757-1761
  • Journal article (peer-reviewed)abstract
    • By pretreating the substrate of a graphene field-effect transistor (G-FET), a stable unipolar transfer characteristic, instead of the typical V-shape ambipolar behavior, has been demonstrated. This behavior is achieved through functionalization of the SiO2/Si substrate that changes the SiO2 surface from hydrophilic to hydrophobic, in combination with postdeposition of an Al2O3 film by atomic layer deposition (ALD). Consequently, the back-gated G-FET is found to have increased apparent hole mobility and suppressed apparent electron mobility. Furthermore, with addition of a top-gate electrode, the G-FET is in a double-gate configuration with independent top- or back-gate control. The observed difference in mobility is shown to also be dependent on the top-gate bias, with more pronounced effect at higher electric field. Thus, the combination of top and bottom gates allows control of the G-FET's electron and hole mobilities, i.e., of the transfer behavior. Based on these observations, it is proposed that polar ligands are introduced during the ALD step and, depending on their polarization, result in an apparent increase of the effective hole mobility and an apparent suppressed effective electron mobility.
  •  
3.
  •  
4.
  •  
5.
  • Bao, Jie, et al. (author)
  • Measurement of Dielectric Properties of Ultrafine BaTiO3 Using an Organic-Inorganic Composite Method
  • 2015
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 1543-186X .- 0361-5235. ; 44:7, s. 2300-2307
  • Journal article (peer-reviewed)abstract
    • Ultrafine BaTiO3, unlike traditional ferroelectric materials, demonstrates some interesting dielectric properties, such as a gradual transition from paraelectric to ferroelectric phase, which is similar to dielectric relaxation ferroelectrics. Although several methods have been recently proposed to measure the dielectric properties of ultrafine BaTiO3, the problem still remains unsolved. This paper proposes a new method to estimate the dielectric properties of ultrafine BaTiO3 by measuring and analyzing the dielectric properties of BaTiO3-epoxy composites. The Novocontrol dielectric measuring system was employed to measure the dielectric response of the composites. The dielectric behavior and relaxation characteristics of the BaTiO3 filler were estimated by modeling and calculating the dielectric constant based on different mixture theories. Results reveal that the effect of surface states yields dielectric relaxation in ultrafine BaTiO3.
  •  
6.
  • Barrios, C. A., et al. (author)
  • Epitaxially regrown GaAs/AlGaAs laser mesas with semi-insulating GaInP : Fe and GaAs : Fe
  • 2001
  • In: Journal of Electronic Materials. - 0361-5235 .- 1543-186X. ; 30:8, s. 987-991
  • Journal article (peer-reviewed)abstract
    • Selective regrowth of semi-insulating iron-doped Ga0.51In0.49P (SI-GaInP:Fe) and SI-GaAs:Fe around GaAs/AlGaAs mesas by hydride vapor phase epitaxy (HVPE) has been achieved. A HCl based in-situ cleaning procedure has been used to remove aluminum oxide from the etched walls of the mesas. Regrowth conducted without proper cleaning results in an irregular interface with voids. Regrowth morphology aspects are also presented. Our cleaning and regrowth methods have been used for fabricating GaAs/AlGaAs buried heterostructure in-plane lasers and vertical-cavity surface-emitting lasers.
  •  
7.
  • Barth, Joachim, et al. (author)
  • Investigation of the thermoelectric properties of LiAlSi and LiAlGe
  • 2010
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 1543-186X .- 0361-5235. ; 39:9, s. 1856-1860
  • Journal article (peer-reviewed)abstract
    • The compounds LiAlSi and LiAlGe were synthesized and their thermoelectric properties and temperature stability were investigated. The samples were synthesized by arc melting of the constituent elements. For the determination of the structure type and the lattice parameter, x-ray powder diffraction was used. Both compounds were of the C1 b structure type. The stability of the compounds was investigated by differential thermal analysis and thermal gravimetry. The Seebeck coefficient and the electrical resistivity were determined in the temperature range from 2 K to 650 K. All compounds showed p-type behavior. The thermal conductivity was measured from 2 K to 400 K. The evaluation of the thermal conductivity yielded values as low as 2.4 W m -1 K -1 at 400 K for LiAlGe. The low values are ascribed to high mass fluctuation scattering and a possible rattling effect of the Li atoms.
  •  
8.
  • Battiston, S., et al. (author)
  • Synthesis and Characterization of Al-Doped Mg2Si Thermoelectric Materials
  • 2013
  • In: Journal of Electronic Materials. - New York : Springer. - 0361-5235 .- 1543-186X. ; 42:7, s. 1956-1959
  • Journal article (peer-reviewed)abstract
    • Magnesium silicide (Mg2Si)-based alloys are promising candidates for thermoelectric (TE) energy conversion for the middle to high range of temperature. These materials are very attractive for TE research because of the abundance of their constituent elements in the Earth's crust. Mg2Si could replace lead-based TE materials, due to its low cost, nontoxicity, and low density. In this work, the role of aluminum doping (Mg2Si:Al = 1:x for x = 0.005, 0.01, 0.02, and 0.04 molar ratio) in dense Mg2Si materials was investigated. The synthesis process was performed by planetary milling under inert atmosphere starting from commercial Mg2Si pieces and Al powder. After ball milling, the samples were sintered by means of spark plasma sintering to density > 95%. The morphology, composition, and crystal structure of the samples were characterized by field-emission scanning electron microscopy, energy-dispersive spectroscopy, and x-ray diffraction analyses. Moreover, Seebeck coefficient analyses, as well as electrical and thermal conductivity measurements were performed for all samples up to 600A degrees C. The resultant estimated ZT values are comparable to those reported in the literature for these materials. In particular, the maximum ZT achieved was 0.50 for the x = 0.01 Al-doped sample at 600A degrees C.
  •  
9.
  •  
10.
  • Berglund, Martin, 1985-, et al. (author)
  • Evaluation of dielectric properties of HTCC alumina for realization of plasma sources
  • 2015
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 44:10, s. 3654-3660
  • Journal article (peer-reviewed)abstract
    • As the sensitivity of optogalvanic spectroscopy based on prototype microplasma sources increases, contamination from composite materials in the printed circuit board used starts to become a concern. In this paper, a transfer to high-temperature cofired alumina and platinum is made and evaluated. The high-purity alumina provides an inert plasma environment, and allows for temperatures above 1000A degrees C, which is beneficial for future integration of a combustor. To facilitate the design of high-end plasma sources, characterization of the radio frequency (RF) parameters of the materials around 2.6 GHz is carried out. A RF resonator structure was fabricated in both microstrip and stripline configurations. These resonators were geometrically and electrically characterized, and epsilon (r) and tan were calculated using the RF waveguide design tool Wcalc. The resulting epsilon (r) for the microstrip and stripline was found to be 10.68 (+/- 0.12) and 9.65 (+/- 0.14), respectively. The average tan of all devices was found to be 0.0011 (+/- 0.0007). With these parameters, a series of proof-of-concept plasma sources were fabricated and evaluated. Some problems in the fabrication stemmed from the lamination and difficulties with the screen-printing, but a functioning plasma source was demonstrated.
  •  
11.
  • Broitman, E, et al. (author)
  • Letter: Electrical properties of carbon nitride thin films : Role of morphology and hydrogen content
  • 2002
  • In: Journal of Electronic Materials. - 0361-5235 .- 1543-186X. ; 31:9, s. 957-961
  • Journal article (other academic/artistic)abstract
    • The influence of hydrogen content and ambient humidity on the electrical properties of carbon nitride (CNX) films deposited by reactive magnetron sputtering from a graphite target in Ar discharges mixed with N-2 and H-2 at a substrate temperature of 350degreesC have been investigated. Carbon films deposited in pure Ar exhibit a dark resistivity at room temperature of similar to4 X 10(-2) Omegacm, while the resistivity is one order of magnitude lower for CN0.25 films deposited in pure N-2, due to their denser morphology. The increasing H-2 fraction in the discharge gas leads to an increased resistivity for all gas mixtures. This is most pronounced for the nitrogen-free films deposited in an Ar/H-2 mixture, where the resistivity increases by over four orders of magnitude. This can be related to a decreased electron mobility as H inhibits the formation of double bonds. After exposure to air, the resistivity increases with time through two different diffusion regimes. The measured electrical properties of the films are related to the apparent film microstructure, bonding nature, and ambient humidity.
  •  
12.
  • Buyanova, Irina, 1960-, et al. (author)
  • Optical and electrical characterization of (Ga,Mn)N/InGaN multiquantum well light-emitting diodes
  • 2004
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 33:5, s. 467-471
  • Journal article (peer-reviewed)abstract
    •  (Ga,Mn)/N/InGaN multiquantum well (MQW) diodes were grown by molecular beam epitaxy (MBE). The current-voltage characteristics of the diodes show the presence of a parasitic junction between the (Ga,Mn)N and the n-GaN in the top contact layer due to the low conductivity of the former layer. Both the (Ga,Mn)N/InGaN diodes and control samples without Mn doping show no or very low (up to 10% at the lowest temperatures) optical (spin) polarization at zero field or 5 T, respectively. The observed polarization is shown to correspond to the intrinsic optical polarization of the InGaN MQW, due to population distribution between spin sublevels at low temperature, as separately studied by resonant optical excitation with a photon energy lower than the bandgap of both the GaN and (Ga,Mn)N. This indicates efficient losses in the studied structures of any spin polarization generated by optical spin orientation or electrical spin injection. The observed vanishing spin injection efficiency of the spin light-emitting diode (LED) is tentatively attributed to spin losses during the energy relaxation process to the ground state of the excitons giving rise to the light emission.
  •  
13.
  •  
14.
  • Cheng, Jie, et al. (author)
  • Corrosion Investigations of Ruthenium in Potassium Periodate Solutions Relevant for Chemical Mechanical Polishing
  • 2016
  • In: Journal of Electronic Materials. - : Springer. - 0361-5235 .- 1543-186X. ; 45:8, s. 4067-4075
  • Journal article (peer-reviewed)abstract
    • Ruthenium is the most promising material for the barrier layer used for the sub 14 nm technology node in integrated circuits manufacturing. Potassium periodate (KIO4)-based slurry is used in the chemical mechanical planarization (CMP) process of the barrier layer. However, the electrochemical and corrosion properties of ruthenium have not been investigated in such slurry. In this paper, the electrochemical and corrosion behaviors of ruthenium in KIO4 solutions were investigated under static conditions but at different pH values by potentiodynamic polarization and electrochemical impedance spectroscopy measurements, combined with surface chemical analysis using auger electron spectroscopy. Moreover, to study wear enhanced corrosion during CMP, tribocorrosion experiments were carried out to monitor the current density changes during and after mechanical scratching. The results show that at pH 6, ruthenium forms a relatively thick and heterogeneous surface film composed of RuO2 center dot 2H(2)O/RuO3, showing a high corrosion resistance and it exhibits a quick repassivation after mechanical scratching. At pH 4, ruthenium shows a passivation behavior with formation of a uniform and conductive oxide like RuO2 center dot 2H(2)O. It should be noted that there is a possible formation of RuO4 toxic gas under this condition, which should be avoided in the actual production. However, at pH 11, ruthenium exhibits no considerable passivity and the corrosion proceeds uniformly.
  •  
15.
  • Chu Thi, Quy, et al. (author)
  • Ethanol-Sensing Characteristics of Nanostructured ZnO: Nanorods, Nanowires, and Porous Nanoparticles
  • 2017
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; , s. 1-6
  • Journal article (peer-reviewed)abstract
    • The morphology and crystalline size of metal oxide-sensing materials arebelieved to have a strong influence on the performance of gas sensors. In thispaper, we report a comparative study on the ethanol-sensing characteristics ofZnO nanorods, nanowires, and porous nanoparticles. The porous ZnOnanoparticles were prepared using a simple thermal decomposition of a sheetlikehydrozincite, whereas the nanorods and nanowires were grown byhydrothermal and chemical vapor deposition methods, respectively. Themorphology and crystal structure of the synthesized materials were characterizedby field-emission scanning electron microscopy and x-ray diffraction.Ethanol gas-sensing characteristics were systematically studied at differenttemperatures. Our findings show that for ethanol gas-sensing applications,ZnO porous nanoparticles exhibited the best sensitivity, followed by thenanowires and nanorods. Gas-sensing properties were also examined withrespect to the role of crystal growth orientation, crystal size, and porosity.
  •  
16.
  • Chung, S. J., et al. (author)
  • Magneto-transport properties of a GaMnAs-based ferromagnetic semiconductor trilayer structure grown on a ZnMnSe buffer
  • 2008
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 37:6, s. 912-916
  • Journal article (peer-reviewed)abstract
    • Magneto-transport properties have been investigated in a ferromagnetic GaMnAs/GaAlAs/GaMnAs semiconductor trilayer structure grown on a ZnMnSe buffer layer. The presence of the ZnMnSe buffer leads to the formation of a spin-valve-like structure, which provides the opportunity to investigate spin scattering effects by Hall resistance and magnetoresistance (MR) measurements in the current-in-plane (CIP) configuration. The Curie temperature (T c) and coercivity of the bottom GaMnAs layer are observed to be different from those of the top GaMnAs layer due to the proximity effect between the ferromagnetic GaMnAs and paramagnetic ZnMnSe layers. A two-step behavior is observed in the hysteresis loops of the Hall resistance, indicating that the coercive fields are different in the two GaMnAs layers in the trilayer structure. The magnetoresistance (MR) measured simultaneously with the Hall resistance shows a sudden increase in the field region where the magnetization of the two GaMnAs layers is different. Although the MR ratio was observed to be only 0.04% in our trilayer structure (due to the experimental CIP configuration), the study clearly demonstrates the presence of spin scattering in a trilayer ferromagnetic semiconductor structure grown on a ZnMnSe buffer. © 2008 TMS.
  •  
17.
  • Ciechonski, Rafal, et al. (author)
  • Effect of boron on the resistivity of compensated 4H-SiC
  • 2003
  • In: Journal of electronic materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 32:5, s. 452-457
  • Journal article (peer-reviewed)abstract
    • High-resistivity 4H-SiC samples grown by sublimation with a high growth rate are studied. The measurements show resistivity values up to a high of 104 Ωcm. The secondary ion mass spectroscopy (SIMS) results revealed a presence of only common trace impurities such as nitrogen, aluminum, and boron. To understand the compensation mechanism in these samples, capacitance deep-level transient spectroscopy (DLTS) on the p-type epilayers has been performed. By correlation between the growth conditions and SIMS results, we apply a model in which it is proposed that an isolated carbon vacancy donorlike level is a possible candidate responsible for compensation of the shallow acceptors in p-type 4H-SiC. A relation between cathodoluminescence (CL) and DLTS data is taken into account to support the model.
  •  
18.
  • Danielsson, E., et al. (author)
  • Inductively coupled plasma etch damage in 4H-SiC investigated by Schottky diode characterization
  • 2001
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 30:3, s. 247-252
  • Journal article (peer-reviewed)abstract
    • Ti Schottky diodes have been used to investigate the damage caused by inductively coupled plasma (ICP) etching of silicon carbide. The Schottky diodes were characterized using TV and CV measurements. An oxidation approach was tested in order to anneal the damage, and the diode characterization was used to determine the success of the annealing. The barrier height, leakage current, and ideality factor changed significantly on the sample exposed to the etch. When the etched samples were oxidized the electrical properties were recovered and were similar to the unetched reference sample (with oxidation temperatures ranging from 900 degreesC up to 1250 degreesC). Annealing in nitrogen at 1050 degreesC did not improve the electrical characteristics. A low energy etch showed little influence on the electrical characteristics, but since the etch rate was very low the etched depth may not be sufficient in order to reach a steady state condition for the surface damage.
  •  
19.
  •  
20.
  • Ebrahimi, P., et al. (author)
  • Systematic Optimization of Boron Diffusion for Solar Cell Emitters
  • 2017
  • In: Journal of Electronic Materials. - : Springer. - 0361-5235 .- 1543-186X. ; 46:7, s. 4236-4241
  • Journal article (peer-reviewed)abstract
    • To achieve p-n junctions for n-type solar cells, we have studied BBr3 diffusion in an open tube furnace, varying parameters of the BBr3 diffusion process such as temperature, gas flows, and duration of individual process steps, i.e., predeposition and drive-in. Then, output parameters such as carrier lifetime, sheet resistance, and diffusion profile were measured and statistically analyzed to optimize the emitter characteristics. Statistical analysis (factorial design) was finally employed to systematically explore the effects of the set of input variables on the outputs. The effect of the interactions between inputs was also evaluated for each output, quantified using a two-level factorial method. Temperature and BBr3 flow were found to have the most significant effect on different outputs such as carrier lifetime, junction depth, sheet resistance, and final surface concentration.
  •  
21.
  • Ekström, Mattias, et al. (author)
  • Integration and High-Temperature Characterization of Ferroelectric Vanadium-Doped Bismuth Titanate Thin Films on Silicon Carbide
  • 2017
  • In: Journal of Electronic Materials. - : SPRINGER. - 0361-5235 .- 1543-186X. ; 46:7, s. 4478-4484
  • Journal article (peer-reviewed)abstract
    • 4H-SiC electronics can operate at high temperature (HT), e.g., 300A degrees C to 500A degrees C, for extended times. Systems using sensors and amplifiers that operate at HT would benefit from microcontrollers which can also operate at HT. Microcontrollers require nonvolatile memory (NVM) for computer programs. In this work, we demonstrate the possibility of integrating ferroelectric vanadium-doped bismuth titanate (BiTV) thin films on 4H-SiC for HT memory applications, with BiTV ferroelectric capacitors providing memory functionality. Film deposition was achieved by laser ablation on Pt (111)/TiO2/4H-SiC substrates, with magnetron-sputtered Pt used as bottom electrode and thermally evaporated Au as upper contacts. Film characterization by x-ray diffraction analysis revealed predominately (117) orientation. P-E hysteresis loops measured at room temperature showed maximum 2P (r) of 48 mu C/cm(2), large enough for wide read margins. P-E loops were measurable up to 450A degrees C, with losses limiting measurements above 450A degrees C. The phase-transition temperature was determined to be about 660A degrees C from the discontinuity in dielectric permittivity, close to what is achieved for ceramics. These BiTV ferroelectric capacitors demonstrate potential for use in HT NVM applications for SiC digital electronics.
  •  
22.
  • Ekström, Mattias, et al. (author)
  • Investigation of a Self-Aligned Cobalt Silicide Process for Ohmic Contacts to Silicon Carbide
  • 2019
  • In: Journal of Electronic Materials. - : Springer. - 0361-5235 .- 1543-186X. ; 48:4, s. 2509-2516
  • Journal article (peer-reviewed)abstract
    • Previous studies showed that cobalt silicide can form ohmic contacts to p-type 6H-SiC by directly reacting cobalt with 6H-SiC. Similar results can be achieved on 4H-SiC, given the similarities between the different silicon carbide polytypes. However, previous studies using multilayer deposition of silicon/cobalt on 4H-SiC gave ohmic contacts to n-type. In this study, we investigated the cobalt silicide/4H-SiC system to answer two research questions. Can cobalt contacts be self-aligned to contact holes to 4H-SiC? Are the self-aligned contacts ohmic to n-type, p-type, both or neither? Using x-ray diffraction, it was found that a mixture of silicides (Co2Si and CoSi) was reliably formed at 800°C using rapid thermal processing. The cobalt silicide mixture becomes ohmic to epitaxially grown n-type (1×1019cm-3) if annealed at 1000°C, while it shows rectifying properties to epitaxially grown p-type (1×1019cm-3) for all tested anneal temperatures in the range 800–1000°C. The specific contact resistivity (ρC) to n-type was 4.3×10-4 Ω cm2. This work opens the possibility to investigate other self-aligned contacts to silicon carbide.
  •  
23.
  • Famengo, A., et al. (author)
  • Phase Content Influence on Thermoelectric Properties of Manganese Silicide-Based Materials for Middle-High Temperatures
  • 2013
  • In: Journal of Electronic Materials. - New York : Springer. - 0361-5235 .- 1543-186X. ; 42:7, s. 2020-2024
  • Journal article (peer-reviewed)abstract
    • The higher manganese silicides (HMS), represented by MnSi (x) (x = 1.71 to 1.75), are promising p-type leg candidates for thermoelectric energy harvesting systems in the middle-high temperature range. They are very attractive as they could replace lead-based compounds due to their nontoxicity, low-cost starting materials, and high thermal and chemical stability. Dense pellets were obtained through direct reaction between Mn and Si powders during the spark plasma sintering process. The tetragonal HMS and cubic MnSi phase amounts and the functional properties of the material such as the Seebeck coefficient and electrical and thermal conductivity were evaluated as a function of the SPS processing conditions. The morphology, composition, and crystal structure of the samples were characterized by scanning electron microscopy, energy-dispersive x-ray spectroscopy, and x-ray diffraction analyses, respectively. Differential scanning calorimetry and thermogravimetric analysis were performed to evaluate the thermal stability of the final sintered material. A ZT value of 0.34 was obtained at 600A degrees C for the sample sintered at 900A degrees C and 90 MPa with 5 min holding time.
  •  
24.
  • Fiameni, S., et al. (author)
  • Effect of Synthesis and Sintering Conditions on the Thermoelectric Properties of n-Doped Mg2Si
  • 2014
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 43:6, s. 2301-2306
  • Journal article (peer-reviewed)abstract
    • Magnesium silicide (Mg2Si)-based alloys are promising candidates for thermoelectric (TE) energy conversion in the middle-high temperature range. The detrimental effect of the presence of MgO on the TE properties of Mg2Si based materials is widely known. For this reason, the conditions used for synthesis and sintering were optimized to limit oxygen contamination. The effect of Bi doping on the TE performance of dense Mg2Si materials was also investigated. Synthesis was performed by ball milling in an inert atmosphere starting from commercial Mg2Si powder and Bi powder. The samples were consolidated, by spark plasma sintering, to a density > 95%. The morphology, and the composition and crystal structure of samples were characterized by field-emission scanning electronic microscopy and x-ray diffraction, respectively. Moreover, determination of Seebeck coefficients and measurement of electrical and thermal conductivity were performed for all the samples. Mg2Si with 0.1 mol% Bi doping had a ZT value of 0.81, indicative of the potential of this method for fabrication of n-type bulk material with good TE performance.
  •  
25.
  • Fiameni, S., et al. (author)
  • Introduction of Metal Oxides into Mg2Si Thermoelectric Materials by Spark Plasma Sintering
  • 2013
  • In: Journal of Electronic Materials. - New York : Springer. - 0361-5235 .- 1543-186X. ; 42:7, s. 2062-2066
  • Journal article (peer-reviewed)abstract
    • Oxide incorporation into thermoelectric Mg2Si-based materials was performed starting from commercial Mg2Si and commercial metal oxides by applying ball milling and spark plasma sintering (SPS) processing. The SPS conditions, such as sintering temperature, pressure, and holding time, were optimized with the aim of obtaining both full densification and oxide incorporation. Thermoelectric characterizations, such as Seebeck coefficient and electrical and thermal conductivity, were carried out and related to the pellet compositions. The morphology, composition, and crystallographic structure of the samples were characterized by field-emission scanning electron microscopy, energy-dispersive spectrometry, and x-ray diffraction analyses, respectively.
  •  
26.
  •  
27.
  • Gulzar, Ali, et al. (author)
  • Functional CuO Microstructures for Glucose Sensing
  • 2018
  • In: Journal of Electronic Materials. - : Springer. - 0361-5235 .- 1543-186X. ; 47:2, s. 1519-1525
  • Journal article (peer-reviewed)abstract
    • CuO microstructures are produced in the presence of water-soluble amino acids by hydrothermal method. The used amino acids include isoleucine, alpha alanine, and arginine as a soft template and are used for tuning the morphology of CuO nanostructures. The crystalline and morphological investigations were carried out by x-ray diffraction (XRD) and scanning electron microscopy techniques. The XRD study has shown that CuO material obtained in the presence of different amino acids is of high purity and all have the same crystal phase. The CuO microstructures prepared in the presence of arginine were used for the development of sensitive and selective glucose biosensor. The linear range for the glucose detection are from 0.001 mM to 30 mM and limit of detection was found to be 0.0005 mM. The sensitivity was estimated around 77 mV/decade. The developed biosensor is highly selective, sensitive, stable and reproducible. The glucose biosensor was used for the determination of real human blood samples and the obtained results are satisfactory. The CuO material is functional therefore can be capitalized in wide range of applications such as lithium ion batteries, all oxide solar cells and supercapacitors.
  •  
28.
  • Guo, W. L., et al. (author)
  • Process Optimization of Passive Matrix GaN-Based Micro-LED Arrays for Display Applications
  • 2019
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 1543-186X .- 0361-5235. ; 48:8, s. 5195-5202
  • Journal article (peer-reviewed)abstract
    • Passive matrix GaN-based micro light-emitting diode (LED) arrays with two resolutions of 32 × 32 and 128 × 64 are designed and fabricated, and a micro control unit is used to drive the devices and display Chinese characters. The process of the micro-LED display arrays is systematically optimized, where emphasis has been put on solving two specific technical problems. First, the deep isolation trench is etched in two steps in order to decrease the slope of the isolation trench so as to ease the p electrode to “climb”. In this way, the otherwise easily broken p metal line is now very reliable. Second, a secondary growth method is employed to deposit SiO2 onto the n metal line as an insulation layer between the p and n electrode layers. Between the two deposition steps, the chips are rotated with a certain angle. Therefore, the probability of pinhole overlap is significantly reduced, and the insulation between the p and n electrode layers is guaranteed. Using the optimized micro-LED process, micro displays are fabricated and their electrical, optical, and thermal characteristics for two different pixel sizes are analyzed. Experiments show that the process optimization above helps realize the outstanding properties of the micro-LED display arrays, increase the device and system reliability. The work will contribute to the implementation of the GaN based micro-LED technologies in real life.
  •  
29.
  • Hallin, Christer, et al. (author)
  • Improved Ni ohmic contact on n-type 4H-SiC
  • 1997
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 26:3, s. 119-122
  • Journal article (peer-reviewed)abstract
    • This paper presents the structural, chemical and electronic properties of Al/Ni/ Al-layers evaporated on 4H silicon carbide and then annealed at 1000°C for 5 min. The structure was investigated before and after annealing by transmission electron spectroscopy from cross-sectional specimens. With x-ray photoelectron spectroscopy, both element distribution and bonding energies were followed during sputtering through the alloyed metal-semiconductor contact. Voids are found in both annealed Ni/4H-SiC and Al/Ni/Al/4H-SiC contact layers, though closer to the metal-semiconductor interface in the former case. The first aluminum-layer is believed to prevent voids to be formed at the interface and also to reduce the oxide on the semiconductor surface. The contact was found to be ohmic with a specific contact resistance ρc - 1.8 × 10−5 Ωcm2 which is more than three times lower ρc than for the ordinary Ni/4H-SiC contact prepared in the same way.
  •  
30.
  • Hofmann, T., et al. (author)
  • Optical hall effect in hexagonal InN
  • 2008
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 37:5, s. 611-615
  • Journal article (peer-reviewed)abstract
    • Measurements of the optical Hall effect in naturally doped high-quality wurtzite-structure InN thin films by generalized ellipsometry reveal that both the surface and the interior (bulk) free electron densities decrease with power-law dependencies on the film thickness. We discover a significant deviation between the bulk electron and dislocation densities. This difference is attributed here to the existence of surface defects with activation mechanism different from bulk dislocations and identifies the possible origin of the so far persistent natural n-type conductivity in InN. We further quantify the anisotropy of the Gamma-point effective mass.
  •  
31.
  • Hong, J., et al. (author)
  • Plasma chemistries for high density plasma etching of SiC
  • 1999
  • In: Journal of Electronic Materials. - Charlottesville, VA, USA. - 0361-5235 .- 1543-186X. ; 28:3, s. 196-201
  • Journal article (peer-reviewed)abstract
    • A variety of different plasma chemistries, including SF6, Cl2, ICI, and IBr, have been examined for dry etching of 6H-SiC in high ion density plasma tools (inductively coupled plasma and electron cyclotron resonance). Rates up to 4500 angstroms·min-1 were obtained for SF6 plasmas, while much lower rates (≀800 angstroms·min-1) were achieved with Cl2, ICI, and IBr. The F2-based chemistries have poor selectivity for SiC over photoresist masks (typically 0.4-0.5), but Ni masks are more robust, and allow etch depths ≥10 ÎŒm in the SiC. A micromachining process (sequential etch/deposition steps) designed for Si produces relatively low etch rates (<2,000 angstroms·min-1) for SiC.
  •  
32.
  • Högblom, Olle, 1983, et al. (author)
  • Analysis of Thermoelectric Generator Performance by Use of Simulations and Experiments
  • 2014
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 1543-186X .- 0361-5235. ; 43:6, s. 2247-2254
  • Journal article (peer-reviewed)abstract
    • A method that enables accurate determination of contact resistances in thermoelectric generators and which gives detailed insight into how these reduce module performance is presented in this paper. To understand the importance taking thermal and electrical contact resistances into account in analysis of thermoelectric generators, full-scale modules were studied. Contact resistances were determined by means of non-linear regression analysis on the basis of results from 3D finite element simulations and experiments in a setup in which heat flow, voltage, and current were measured. Statistical evaluation showed that the model and the identified contact resistances enabled excellent prediction of performance over the entire range of operating conditions. It was shown that if contact resistances were not included in the analysis the simulations significantly over-predicted both heat flow and electric power output, and it was concluded that contact resistance should always be included in module simulations. The method presented in this paper gives detailed insight into how thermoelectric modules perform in general, and also enables prediction of potential improvement in module performance by reduction of contact resistances.
  •  
33.
  • Jiao, Mingzhi, et al. (author)
  • Comparison of NO2 Gas-Sensing Properties of Three Different ZnO Nanostructures Synthesized by On-Chip Low-Temperature Hydrothermal Growth
  • 2018
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 47:1, s. 785-793
  • Journal article (peer-reviewed)abstract
    • Three different ZnO nanostructures, dense nanorods, dense nanowires, and sparse nanowires, were synthesized between Pt electrodes by on-chip hydrothermal growth at 90°C and below. The three nanostructures were characterized by scanning electron microscopy and x-ray diffraction to identify their morphologies and crystal structures. The three ZnO nanostructures were confirmed to have the same crystal type, but their dimensions and densities differed. The NO2 gas-sensing performance of the three ZnO nanostructures was investigated at different operation temperatures. ZnO nanorods had the lowest response to NO2 along with the longest response/recovery time, whereas sparse ZnO nanowires had the highest response to NO2 and the shortest response/recovery time. Sparse ZnO nanowires also performed best at 300°C and still work well and fast at 200°C. The current–voltage curves of the three ZnO nanostructures were obtained at various temperatures, and the results clearly showed that sparse ZnO nanowires did not have the linear characteristics of the others. Analysis of this phenomenon in connection with the highly sensitive behavior of sparse ZnO nanowires is also presented.
  •  
34.
  • Johansson, Christian, et al. (author)
  • Broadband dielectric characterization of a silicone elastomer
  • 2007
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 36:9, s. 1206-1210
  • Journal article (peer-reviewed)abstract
    • The dielectric properties of an electronics grade silicone elastomer have been investigated in the frequency intervals 0.1 Hz to 1 MHz at −150°C to 100°C and from 7 GHz to 18 GHz at room temperature. The measurements were performed with a dielectric spectrometer for the low frequency range and with a waveguide setup for the high frequency range. The results are discussed in terms of performance and usability of the material in electronic packaging. Minor direct current (dc) conduction has been observed at high temperatures and is probably related to low levels (ppm) of ionic impurities.
  •  
35.
  • Junesand, Carl, et al. (author)
  • Effect of the Surface Morphology of Seed and Mask Layers on InP Grown on Si by Epitaxial Lateral Overgrowth
  • 2012
  • In: Journal of Electronic Materials. - : Springer Verlag (Germany) / Institute of Electrical and Electronics Engineers (IEEE). - 0361-5235 .- 1543-186X. ; 41:9, s. 2345-2349
  • Journal article (peer-reviewed)abstract
    • Heteroepitaxy of InP on Si by epitaxial lateral overgrowth (ELOG) using a thin seed layer of InP as starting material is investigated, with special attention given to the effect of the surface morphology of the seed and the mask layers on the quality of the ELOG layers. Chemical mechanical polishing (CMP) has been used to improve the morphological and optical quality of InP grown by hydride vapor-phase epitaxy (HVPE) using ELOG. Two approaches have been investigated: polishing the InP seed layer on Si before depositing the SiO2 mask and polishing the SiO2 mask after its deposition on the unprocessed seed layer. For polishing the InP (seed)/Si, a two-step process with an aluminum oxide- and sodium hypochlorite-containing slurry as well as a slurry based on sodium hypochlorite mixed with citric acid was used. For SiO2 mask polishing, a slurry with colloidal silica as an abrasive was employed. In both cases, the SiO2 mask was patterned with double line openings and ELOG carried out in an HVPE reactor. Morphology and crystal quality of the resulting ELOG layers were studied with atomic force microscopy (AFM) and room-temperature panchromatic cathodoluminescence (PC-CL) in situ in a scanning electron microscope (SEM), respectively. The results show that, whereas both polishing approaches result in an ELOG InP layer with good morphology, its surface roughness is lower when the InP (seed)/Si is subjected to CMP prior to deposition of the SiO2 mask, than when only the SiO2 mask is polished. This approach also leads to a decrease in the number of defects generated during coalescence of the ELOG layers.
  •  
36.
  • Kaniewska, M., et al. (author)
  • Classification of Energy Levels in Quantum Dot Structures by Depleted Layer Spectroscopy
  • 2010
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 1543-186X .- 0361-5235. ; 39:6, s. 766-772
  • Journal article (peer-reviewed)abstract
    • The coexistence of quantum confined energy levels and defect energy levels in quantum dot (QD) structures may cause difficulties in distinguishing between their different origin when using deep-level transient spectroscopy (DLTS). Using InAs/GaAs QDs as demonstration vehicles, we present methodologies to obtain such a classification by DLTS. QD-related spectra measured as a function of repetition frequency of electrical pulses, f, or temperature, T, and reverse voltage, V (R), are depicted as contour plots on (f, V (R)) and (T, V (R)) planes, thus reflecting the complex thermal and tunneling emission of electrons from the ground and excited states. Defect-related levels give rise to different contour patterns and undergo modification, exhibiting double-peak structured emission when defects are agglomerated in the vicinity of the QD plane. This effect is interpreted in terms of an interaction between electron states in traps and the confined QD states.
  •  
37.
  • Karlsteen, M., et al. (author)
  • Electrical properties of inhomogeneous SiC MIS structures
  • 1995
  • In: Journal of Electronic Materials. - : Springer. - 0361-5235 .- 1543-186X. ; 24:7, s. 853-861
  • Journal article (peer-reviewed)abstract
    • Current-voltage characteristics of metal contacts on 6H-SiC with a thin (5-20 Angstrom) oxide layer have been measured in the temperature range 300 to 1000K. The contacts were investigated in both H-2 and O-2-atmospheres. As the SiC surface was nonideal due to pin holes and other defects generated during the growth process, it was necessary to treat the Schottky contacts as inhomogeneous contacts. The inhomogeneity explains the nonideal current-voltage behavior of the contacts such as ideality factors much larger than unity and voltage dependent ideality factors. It was found that some metals gave Schottky contacts in the entire temperature range, while other metals were ohmic at higher temperatures. Several different contact metals were investigated: Al, Ti, TaSix, and Pd were found to be ohmic at high temperatures, while Pt, Pt+Cr, Ni, Cr and another TaSix contact were found to behave like Schottky contacts in the entire temperature range. This is a preliminary investigation of the electrical characteristics of different metals that could be useful for high temperature gas sensor purposes.
  •  
38.
  • Keiper, D., et al. (author)
  • Growth of quaternary InP-based materials by LP-MOVPE using TBA and TBP in N-2 ambient
  • 2000
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 29:12, s. 1398-1401
  • Journal article (peer-reviewed)abstract
    • We have investigated the growth of quaternary In1-xGaxAsyP1-y/InP materials using TEA and TBP in a N-2 ambient. This process improves significantly the uniformity of In1-xGaxAs/InP QWs whereas it does not improve the quaternary Q(1.3)/InP uniformity compared to the conventional process utilizing AsH3 and PH3 in H-2. The effect on the x and y uniformity for different combinations of the group-V precursors TBA, TBP, PH3, and AsH3 with the carrier gases H-2 and N-2 is evaluated. Advantages with the TBA/TBP/N-2 process are discussed.
  •  
39.
  • Kim, Joo-Hyung, et al. (author)
  • Wet etching study of La-0.67(Sr0.5Ca0.5)(0.33)MnO3 films on silicon substrates
  • 2008
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 37:3, s. 361-367
  • Journal article (peer-reviewed)abstract
    • Wet etching of colossal magnetoresistive (CMR) perovskite La-0.67(Sr0.5Ca0.5)(0.33)MnO3 (LSCMO) films on Bi4Ti3O12/CeO2/yttrium-stabilized zirconia (YSZ)-buffered Si substrates was investigated using potassium hydroxide (KOH) and buffered hydrofluoric acid (BHF) solutions. X-ray diffraction (XRD) and scanning spreading resistance microscopy (SSRM) measurements revealed that the morphological roughness of the LSCMO films increases, while the electrical resistance roughness decreases, with increasing KOH etching time. The LSCMO films are highly chemically resistant to KOH solution; however, in the case of BHF etching, an etch rate of 22 nm/min was obtained with high selectivity over a photoresist mask.
  •  
40.
  •  
41.
  • Lal, Ramesh, et al. (author)
  • Chemically Coupled Multiwall Carbon Nanotubes with Leaf-Like Nanostructures of NiO for Sensitive and Selective Determination of Uric Acid
  • 2021
  • In: Journal of Electronic Materials. - : SPRINGER. - 0361-5235 .- 1543-186X. ; 50:5, s. 2852-2859
  • Journal article (peer-reviewed)abstract
    • The chemical coupling of NiO nanostructures with thermally treated multiwall carbon nanotubes (MWCNTs) is not reported as it provides an enhanced dispersion of composite material in water. The dispersion of MWCNTs has been considered a big challenge. For this purpose, we first thermally treated MWCNTs at 1000 degrees C in air for 30 min. Then, thermally treated MWCNTs were chemically coupled with NiO nanostructures by a hydrothermal method. The material characterization in terms of structure, morphology, and composition is well studied by different analytical techniques. The NiO composite (sample 2) with highest weight of MWCNTs had a leaf-like morphology and it exhibits a cubic phase of NiO. Cyclic voltammetry (CV) was used to study the electrochemical activity of prepared composite material towards the oxidation of uric acid in the phosphate buffer solution of pH 7.0. Sample 2 showed a well-behaved anodic peak with enhanced peak current and exhibited a linear range from 0.01 mM to 2.5 mM for uric acid with a low limit of detection 0.001mM. Sample 2 was found to be very selective under the environment of competing interferents such as urea, glucose, lactic acid and ethanol. This sample exhibits significant stability, thus it is a potential protocol for the monitoring of uric acid from real samples. This study provides a low-cost platform for the fabrication of efficient materials for various applications such as batteries, fuel cells and water splitting.
  •  
42.
  • Lee, S. K., et al. (author)
  • Ohmic contact formation on inductively coupled plasma etched 4H-silicon carbide
  • 2002
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 31:5, s. 340-345
  • Journal article (peer-reviewed)abstract
    • We report on the investigation of ohmic contact formation using sputtered titanium-tungsten contacts on an inductively coupled plasma (ICP) etch-damaged 4H-SiC surface. Transfer length method (TLM) measurements were performed to characterize how ICP-etch damage affects the performance of ohmic contacts to silicon carbide. In order to recover etch damage, high-temperature oxidation (1250degreesC for 1 h) was evaluated for one of the samples. Some of the etch damage was recovered, but it did not fully recover the etch damage for the sample etched with medium platen power (60 W). From our TLM measurements, the specific contact resistance (rho(C) of sputtered titanium tungsten on highly doped n(+)-type 4H-SiC epilayers with a doping of 1.1 X 10(19) cm(-3) for the unetched reference sample, 30-W etched, and 60-W etched with and without sacrificial oxidation was as low as 3.8 X 10(-5) Omegacm(2), 3.3 X 10(-5) Omega cm(2), 2.3 X 10(-4) Omegacm(2), and 1.3 X 10(-3) Omegacm(2), respectively. We found that the low-power (30 W) ICP-etching process did not affect the formation of ohmic contacts, and we did not observe any difference between the unetched and the 30-W etched sample from our TLM measurements, having the same value of the rho(C). However, medium-platen-power (60 W) ICP etching showed significant influence on the ohmic contact formation. We found that the specific contact resistance is highly related to the surface roughness and quality of the metals, and the lower, specific contact resistance is due to the smoother and denser ohmic contacts.
  •  
43.
  • Lee, S. K., et al. (author)
  • Schottky barrier height dependence on the metal work function for p-type 4H-silicon carbide
  • 2001
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 30:3, s. 242-246
  • Journal article (peer-reviewed)abstract
    • We investigated Schottky barrier diodes of several metals (Ti, Ni, and Au) having different metal work functions to p-type 4H-SiC (0001) using I-V and C-V characteristics. Contacts showed excellent Schottky behavior with stable ideality factors of 1.07, 1.23, and 1.06 for Ti, Ni, and Au, respectively, in the range of 24 degreesC to 300 degreesC. The measured Schottky barrier height (SBH) was 1.96, 1.41, and 1.42 eV for Ti, Ni, and Au, respectively, in the same temperature range from IV characteristics. Based on our measurements for p-type 4H-SiC, the SBH (phi (Bp)) and metal work functions (phi (m)) show a linear relationship of phi (Bp) = 4.58 - 0.61 phi (m) and phi (Bp) = 4.42 - 0.54(phim) for I-V and C-V characteristics at room temperature, respectively. We observed that the SBH strongly depends on the metal work function with a slope (S = phi (Bp)/phi (m)) of 0.58 even though the Fermi level is partially pinned. We found the sum of the SBH (phi (Bp) + phi (Bn) = E-g) at room temperature for nand p-type 4H-SiC to be 3.07 eV, 3.12 eV, and 3.21 eV for Ti, Ni, and Au, respectively, using I-V and C-V measurements, which are in reasonable accord with the Schottky-Mott limit.
  •  
44.
  • Leerungnawarat, P., et al. (author)
  • Effect of UV light irradiation on SiC dry etch rates
  • 2000
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 29:3, s. 342-346
  • Journal article (peer-reviewed)abstract
    • Inductively Coupled Plasma etching of 4H-SiC under ultraviolet illumination was examined for SF6/Ar and Cl-2/Ar chemistries. Etch rate enhancements up to a factor of 8 were observed with UV light irradiation during Cl-2/Ar etching. The enhancement mechanism is related to photodesorption of SiClx and CClx species. Surface morphologies were unchanged as a result of the UV enhancement with Cl-2/Ar discharges. By contrast, there was no effect of UV irradiation on the SiC etch rates in SF6/Ar plasmas, but the surfaces were typically smoother than those obtained without the ultraviolet illumination. In the SF6/Ar chemistry the rate-limiting steps are either Si-C bond-breaking or supply of fluorine radicals to the surface, and not desorption of the SiFx and CFx etch products.
  •  
45.
  • Li, Ling-Guang, 1982-, et al. (author)
  • Dynamics of SiO2 Buried Layer Removal from Si-SiO2-Si and Si-SiO2-SiC Bonded Substrates by Annealing in Ar
  • 2014
  • In: Journal of Electronic Materials. - : Springer Berlin/Heidelberg. - 0361-5235 .- 1543-186X. ; 43:2, s. 541-547
  • Journal article (peer-reviewed)abstract
    • Silicon-on-silicon-carbide substrates could be ideal for high-power and radiofrequency silicon devices. Such hybrid wafers, when made by wafer bonding, contain an intermediate silicon dioxide layer with poor thermal characteristics, which can be removed by high-temperature annealing in an inert atmosphere. To understand the dynamics of this process, removal of 2.4-nm-thick SiO2 layers from Si-SiO2-Si and Si-SiO2-SiC substrates has been studied at temperatures ranging from 1100A degrees C to 1200A degrees C. The substrates were analyzed by transmission electron microscopy, electron energy-loss spectroscopy, secondary-ion mass spectroscopy, and ellipsometry, before and after annealing. For oxide thickness less than 2.4 nm, the activation energy for oxide removal was estimated to be 6.4 eV, being larger than the activation energy reported for removal of thicker oxides (4.1 eV). Under the same conditions, the SiO2 layer became discontinuous. In the time domain, three steps could be distinguished: bulk diffusion, bulk diffusion with void formation, and bulk diffusion with disintegration. The void formation, predominant here, has an energetic cost that could explain the larger activation energy. The oxide remaining after prolonged annealing corresponds to one layer of oxygen atoms.
  •  
46.
  • Li, Ling-Guang, 1982-, et al. (author)
  • Thermal characterization of polycrystalline SiC
  • 2014
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 43:4, s. 1150-1153
  • Journal article (peer-reviewed)abstract
    • A study is made using fabricated thermal resistors in combination with two-dimensional (2D) electrothermal simulations to determine the thermal conductivity of polycrystalline SiC, single-crystalline SiC, and Si. The results show that the poly-SiC substrate has thermal conductivity of κ poly-SiC = 2.7 W K−1 cm−1, which is significantly lower than that of single-crystalline SiC.
  •  
47.
  • Li, Molan, et al. (author)
  • Thermoelectric-Generator-Based DC-DC Conversion Networks for Automotive Applications
  • 2011
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 40:5, s. 1136-1143
  • Journal article (peer-reviewed)abstract
    • Maximizing electrical energy generation through waste heat recovery is one of the modern research questions within automotive applications of thermoelectric (TE) technologies. This paper proposes a novel concept of distributed multisection multilevel DC-DC conversion networks based on thermoelectric generators (TEGs) for automotive applications. The concept incorporates a bottom-up design approach to collect, convert, and manage vehicle waste heat efficiently. Several state-of-the-art thermoelectric materials are analyzed for the purpose of power generation at each waste heat harvesting location on a vehicle. Optimal materials and TE couple configurations are suggested. Moreover, a comparison of prevailing DC-DC conversion techniques was made with respect to applications at each conversion level within the network. Furthermore, higher-level design considerations are discussed according to system specifications. Finally, a case study is performed to compare the performance of the proposed network and a traditional single-stage system. The results show that the proposed network enhances the system conversion efficiency by up to 400%.
  •  
48.
  • Li, Z-F, et al. (author)
  • Determination of carrier-transfer length from side-wall quantum well to quantum wire by micro-photoluminescence scanning
  • 2003
  • In: Journal of Electronic Materials. - : Springer Science Business Media. - 0361-5235 .- 1543-186X. ; 32:8, s. 913-916
  • Journal article (peer-reviewed)abstract
    • Micro-photoluminescence (mu-PL) line scanning across a single V-groove, GaAs/AlGaAs quantum wire (QWR) has been performed at room temperature, revealing a clear spatial-dependence of the PL. After fitting each PL spectrum by multi-Gaussian line shapes, intensity profiles of each PL component from confined structures have been obtained as functions of the scanning position. The PL quenching of a side-wall quantum well (SQWL) has been recognized in a certain area in the vicinity of the QWR and is interpreted by carrier transfer into the QWR within effective transfer length. By simulating the carrier-transfer process from SQWL to QWR as a convolution of a step function for carrier distribution and a Gaussian function for exciting laser irradiance, the effective transfer length of about 1.8+/-0.3 mum has, therefore, been concluded.
  •  
49.
  • Lotfi, Sara, et al. (author)
  • Fabrication and Characterization of 150 mm Silicon-on-polycrystalline-Silicon Carbide Substrates
  • 2012
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 0361-5235 .- 1543-186X. ; 41:3, s. 480-487
  • Journal article (peer-reviewed)abstract
    • Silicon-on-insulator (SOI) substrates can reduce RF-substrate losses due to their buried oxide (BOX). On the other hand, the BOX causes problems since it acts as a thermal barrier. Oxide has low thermal conductivity and traps the heat that is generated in devices on the SOI. This paper presents a hybrid substrate which uses a thin layer of poly-crystalline silicon and poly-crystalline silicon carbide (Si-on-poly-SiC) to replace the thermally unfavorable buried oxide and the silicon substrate. 150 mm substrates were fabricated by wafer bonding and shown to be stress and strain free. Various electronic devices and test structures were processed on the hybrid substrate as well as on a low resistivity SOI reference wafer. The substrates were characterized electrically and thermally and compared to each other. Results showed that the Si-on-poly-SiC wafer had a 2.5 times lower thermal resistance and was equally or better in electrical performance compared to the SOI reference wafer.
  •  
50.
  • Lourdudoss, Sebastian, et al. (author)
  • An investigation on hydride VPE growth and properties of semi-insulating InP:Fe
  • 1990
  • In: Journal of Electronic Materials. - 0361-5235 .- 1543-186X. ; 19:9, s. 981-987
  • Journal article (peer-reviewed)abstract
    • Growth of highly resistive semi-insulating InP : Fe has been achieved by the Hydride VPE technique in an ambient consisting mostly of nitrogen. After dealing with some thermodynamic considerations pertinent to InP:Fe growth, the experimental growth parameters are described. It is shown that various amounts of iron can be introduced into the InP crystal just by varying the temperature of the iron source. The crystal quality of the grown material is estimated to be good by etch pit density and x-ray diffraction analyses. Current-voltage behaviour and capacitance studies on an n+-SI-n+ structure are explained by invoking the theory of current injection in solids by Lampert and Mark: the experimental current densities at the threshold of each observed regime are compared with the theoretically derived current densities; in the absence of current injection, the measured capacitance is found to be the same as the geometrical capacitance.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-50 of 87
Type of publication
journal article (85)
conference paper (1)
research review (1)
Type of content
peer-reviewed (85)
other academic/artistic (2)
Author/Editor
Willander, Magnus (7)
Östling, Mikael (7)
Lourdudoss, Sebastia ... (6)
Zetterling, Carl-Mik ... (6)
Liu, Johan, 1960 (5)
Tahira, Aneela (5)
show more...
Saleemi, Mohsin (5)
Hultman, Lars (4)
Fabrizio, M. (4)
Battiston, S. (4)
Boldrini, S. (4)
Famengo, A. (4)
Fiameni, S. (4)
Ibupoto, Zafar Hussa ... (3)
Johnsson, Mats (3)
Dempsey, J (3)
d'Heurle, F (3)
Petersson, CS (3)
Agresti, F. (3)
Stingaciu, Marian (3)
Barison, S. (3)
Nguyen, Hugo, 1955- (2)
Kim, J. (2)
Cho, H. (2)
Hussain Ibupoto, Zaf ... (2)
Pozina, Galia (2)
Olsson, Jörgen, 1966 ... (2)
Toprak, Muhammet S. (2)
Nafady, Ayman (2)
Lloyd Spetz, Anita (2)
Linnarsson, Margaret ... (2)
Jansson, Ulf (2)
Jiao, Mingzhi (2)
Yakimova, Rositsa (2)
Chen, Qiang (2)
Leisner, Peter (2)
Wahab, Q. (2)
Kjebon, Olle (2)
Kakanakova-Georgieva ... (2)
Toprak, Muhammet (2)
Buchholt, Kristina (2)
Baglin, J (2)
Irene, E (2)
Darakchieva, Vanya (2)
Monemar, Bo (2)
Vallin, Örjan, 1969- (2)
Zhang, Yong, 1982 (2)
Landgren, Gunnar. (2)
Lotfi, Sara (2)
Waryani, Baradi (2)
show less...
University
Royal Institute of Technology (33)
Linköping University (25)
Uppsala University (16)
Chalmers University of Technology (14)
University of Gothenburg (4)
Stockholm University (4)
show more...
Lund University (2)
Luleå University of Technology (1)
University of Gävle (1)
Jönköping University (1)
Mid Sweden University (1)
University of Borås (1)
RISE (1)
show less...
Language
English (87)
Research subject (UKÄ/SCB)
Engineering and Technology (37)
Natural sciences (30)

Year

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view