SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "L773:1071 1023 OR L773:1520 8567 "

Sökning: L773:1071 1023 OR L773:1520 8567

  • Resultat 1-50 av 97
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Petersson, L.-G., et al. (författare)
  • A Pd-MOS structure as a hydrogen sensor in catalytic reactions
  • 1984
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; A2, s. 1032-
  • Tidskriftsartikel (refereegranskat)abstract
    • By letting a catalytic reaction involving hydrogen occur on the Pd gate metal of a Pd–MOS structure the amount of hydrogen atoms on the surface can be monitored without any external probes by measuring the dipole induced by the hydrogen atoms that have diffused to the Pd–SiO2 interface. The ability to work over a wide pressure range (10-11 Torr to atm) makes the Pd–MOS structure an interesting device in the study of catalytic reactions. In this article, we will give a short review of some of the many applications of this component. We have combined this technique with other surface sensitive techniques such as UPS, XPS, work function measurements and mass spectrometry and, e.g., studied how the hydrogen adsorption–desorption processes are influenced by alloying the Pd surface with various amounts of Ag, thereby also changing the distribution of d states close to Fermi energy. We have also studied the H2+O→H2O reaction on Pd. It, e.g., turns out that the water reaction rate reaches a maximum when the oxygen coverage approaches zero and that the hydrogen atoms on the surface have a larger lateral mobility.
  •  
2.
  • Ahlberg, Patrik, et al. (författare)
  • Toward synthesis of oxide films on graphene with sputtering based processes
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The impact of energetic particles associated with a sputter deposition process may introduce damage to single layer graphene films, making it challenging to apply this method when processing graphene. The challenge is even greater when oxygen is incorporated into the sputtering process as graphene can be readily oxidized. This work demonstrates a method of synthesizing ZnSn oxide on graphene without introducing an appreciable amount of defects into the underlying graphene. Moreover, the method is general and applicable to other oxides. The formation of ZnSn oxide is realized by sputter deposition of ZnSn followed by a postoxidation step. In order to prevent the underlying graphene from damage during the initial sputter deposition process, the substrate temperature is kept close to room temperature, and the processing pressure is kept high enough to effectively suppress energetic bombardment. Further, in the subsequent postannealing step, it is important not to exceed temperatures resulting in oxidation of the graphene. The authors conclude that postoxidation of ZnSn is satisfactorily performed at 300 degrees C in pure oxygen at reduced pressure. This process results in an oxidized ZnSn film while retaining the initial quality of the graphene film.
  •  
3.
  • ANDERSEN, JN, et al. (författare)
  • SURFACE RELATED CORE LEVEL SHIFTS FOR THE SI(111)SQUARE-ROOT-3X SQUARE-ROOT-3 - AL SYSTEM
  • 1991
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 9:4, s. 2384-2387
  • Tidskriftsartikel (refereegranskat)abstract
    • The Si(111) square-root 3 x square-root 3:Al reconstruction has been studied by surface sensitive high resolution core level spectroscopy. It is shown that three components are needed to fit the Si 2p spectra. The Al2p emission is found to consist of more than one component and it is argued that this is related to defects in the overlayer.
  •  
4.
  •  
5.
  • Astromskas, Gvidas, et al. (författare)
  • Electrical characterization of thin InAs films grown on patterned W/GaAs substrates
  • 2009
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 27:5, s. 2222-2226
  • Tidskriftsartikel (refereegranskat)abstract
    • InAs has been grown on W-GaAs patterned substrates using metal organic vapor phase epitaxy. It is shown that the W pattern guides the nucleation of the InAs on the GaAs substrate and that the islands formed may be used to embed metal features in a hybrid InAs/GaAs structure. A lower resistance (factor of 2) was measured for the hybrid structures as compared to reference structures. The reduction in the resistance is attributed to an increased carrier concentration as observed from Hall measurements on devices with different tungsten densities. Cross-sectional transmission electron microscopy investigations reveal a void-free overgrowth above the metal despite the large mismatch of the InAs/GaAs system. (C) 2009 American Vacuum Society. [DOI: 10.1116/1.3222859]
  •  
6.
  • Awan, Kashif Masud, et al. (författare)
  • Nanostructuring of GaAs with tailored topologies using colloidal lithography and dry etching
  • 2014
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 32:2, s. 021801-
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the fabrication of GaAs nanopillars with different profiles/topologies using colloidal lithography and dry etching. GaAs nanopillars with different shapes and dimensions were successfully fabricated using inductively coupled plasma reactive ion etching. Two different etch chemistries CH4/H-2/Cl-2 and Ar/Cl-2 were investigated. The fabricated nanopillar arrays had a typical period of similar to 500 nm, and the depths could be varied from a few nanometers to 4 mu m. The CH4/H-2/Cl-2 chemistry with optimized gas flows and plasma powers is shown to produce nanopillars with smooth sidewalls compared to those fabricated with the Ar/Cl-2 chemistry. The GaAs nanopillar arrays have appreciably lower reflectivities in the measured wavelength range from 400 to 850 nm and are typically one order of magnitude lower compared to planar GaAs, which shows their potential for photovoltaic applications.
  •  
7.
  • Bell, David C., et al. (författare)
  • Precision material modification and patterning with He ions
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 27:6, s. 2755-2758
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the use of a helium ion microscope as a potential technique for precise nanopatterning. Combined with an automated pattern generation system, they demonstrate controlled etching and patterning of materials, giving precise command over the geometery of the modified nanostructure. After the determination of suitable doses, sharp edge profiles and clean etching of areas in materials were observed. In this article they present examples of patterning on SiO(2) and graphene, which is particularly relevant. This technique could be an avenue for precise material modification for future graphene based device fabrication. The technique has the potential to revolutionize the way that very thin, one-atomic layer materials are modified in a controlled and predictable way.
  •  
8.
  • Berrier, Audrey, et al. (författare)
  • Accumulated sidewall damage in dry etched photonic crystals
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 27:4, s. 1969-1975
  • Tidskriftsartikel (refereegranskat)abstract
    • Evidence for accumulated damage is provided by investigating the effect of etch duration on the carrier lifetime of an InGaAsP quantum well (QW) inside the InP-based photonic crystal (PhC) structures. It is found that once the quantum well is etched through, additional etching reduces the carrier lifetimes from 800 to 70 ps. The surface recombination velocity (SRV) at the exposed hole sidewalls is determined from the measured carrier lifetimes of the PhC fields with different lattice parameters. The observed variation in the SRV with etch duration also confirms the presence of accumulated sidewall damage. It increases from 6x10(3) to 1.2x10(5) cm s(-1) as the etching time increases from 3 to 50 min. A geometric model based on sputtering theory and on the evolution of the hole shape is developed to explain the accumulation of sidewall damage. The model is used to estimate the number of impact events from sputtered species reaching the QW sidewalls, and the variation in the accumulated impact events with etch duration is shown to be qualitatively consistent with the experimental observations. Finally, the results suggest a new method for tailoring the carrier lifetimes in PhC membrane structures.
  •  
9.
  • Berrier, Audrey, et al. (författare)
  • Characterization of the feature-size dependence in Ar/Cl2 chemically assisted ion beam etching of InP-based photonic crystal devices
  • 2007
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 25:1, s. 1-10
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors address feature-size dependence in Ar/Cl-2, chemically assisted ion beam etching (CAIBE) in the context of the fabrication of photonic crystal (PhC) structures. They systematically investigate the influence of various parameters such as hole diameter (115-600 nm), etch duration (10-60 min), and ion beam energy (300-600 eV) on PhC etching in InP with Ar/Cl-2, CAIBE. For a 60 min etching at an Ar-ion energy of 400 eV, the authors report an etch depth of 5 mu m for hole diameters d larger than 300 nm; the etch depth is in excess of 3 mu m for d larger than 200 nm. The evolution of roughness at the bottom of the etched holes and its dependence on hole size and etching conditions,is discussed. The physical mechanism of the observed feature-size dependent etching (FSDE) is then discussed and the effect of the process parameters is qualitatively understood using a model combining the effect of ion sputtering and surface chemical reactions. Finally, the effect of FSDE on the PhC optical properties is assessed by measuring the quality factor of one-dimensional Fabry-Perot PhC cavities. The measured quality factors show a clear trend with the etch depth: the cavity Q increases as the etch depth increases.
  •  
10.
  •  
11.
  • Bogdanov, A. L., et al. (författare)
  • Fabrication of arrays of nanometer size test structures for scanning probe microscope tips characterization
  • 1994
  • Ingår i: Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena. - : American Vacuum Society. - 0734-211X. ; 12:6, s. 3681-3684
  • Konferensbidrag (refereegranskat)abstract
    • A problem in scanning probe microscopy (SPM) is the unknown shape of the probing tip. Generally, the image is a convolution between the shape of the tip and the surface. Information of the shape of the probe may be gained by imaging very sharp tips. Here we present a method for making two-dimensional arrays of very sharp tips. The tip arrays were made of silicon using electron beam lithography with subsequent ion-beam etching. To achieve the best possible resolution, ultrasonic excitation was used during development of the bilayered PMMA resist. Thus, openings in the resist with size nearly equal to the spot size of the writing e-beam have been obtained. A further decrease of the radius of the tips was obtained by the choice of appropriate thickness for the masking NiCr layer. The tips were conical with a height up to 100 nm with a radius of the tip down to 10 nm. The tips were suitable for study of the shape of AFM probe tips, under condition that the tip array samples were rinsed in water prior to the measurement. Without the rinsing procedure, strong sticking forces between the probe and the sample would have eroded both of them. The regularity of the array provided an easy way to calibrate the lateral motion of the scanner
  •  
12.
  • Boscarino, Diego, et al. (författare)
  • Deposition of silica-silver nanocomposites by magnetron cosputtering
  • 2005
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 23:1, s. 11-19
  • Tidskriftsartikel (refereegranskat)abstract
    • Thin films have been grown on silicon and silica substrates by cosputtering of silica and silver in Ar, Ar+2.5% O2, and Ar+5% O2 gas mixtures. Rutherford backscattering spectrometry showed that the films have Ag atomic fractions xAg in the range of ∼1 to ∼10 at. %, and, by valence considerations, that the fraction of oxidized Ag in the films deposited in presence of oxygen is limited. Transmission electron microscopy images revealed the presence of Ag nanoclusters, with a mean size diameter not larger than 5 nm. The clusters are preferentially arranged along columns. It is suggested that the columns are regions with diameter in the nanometer range in which the density of the dielectric matrix is lower, thus favoring the formation of metal clusters. In presence of O2, the clusters were observed to have a more regular spherical shape. The optical absorption spectra of films grown in presence of O2 are distinguished from those grown in Ar by specific features, which are attributed to oxidation at the cluster surface. © 2005 American Vacuum Society.
  •  
13.
  • Buyanova, Irina, 1960-, et al. (författare)
  • Optical characterization of ZnMnO-based dilute magnetic semiconductor structures
  • 2006
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 24:1, s. 259-262
  • Tidskriftsartikel (refereegranskat)abstract
    • n -type ZnMnO spin injection layers were grown by pulsed laser deposition on top of n-ZnMgOZnOp-AlGaNp-GaN hybrid spin light-emitting diode (LED) structures synthesized by molecular-beam epitaxy. Both the ZnMnOZnMgOZnOAlGaNGaN structures and control ZnMnO samples show no or very low (up to 10% at the lowest temperatures) optical (spin) polarization at zero field or 5 T, respectively. This indicates difficulties in generating spin polarization by optical spin orientation or possible efficient spin losses. The results are similar to those found earlier for GaMnNInGaNAlGaN spin-LED structures and indicate that these wide-band-gap dilute magnetic semiconductors with weak spin-orbit interaction and hexagonal symmetry are not attractive for spin-LED applications. © 2006 American Vacuum Society.
  •  
14.
  • Buyanova, Irina, 1960-, et al. (författare)
  • Optical study of spin injection dynamics in InGaN/GaN quantum wells with GaMnN injection layers
  • 2004
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 22:6, s. 2668-2672
  • Tidskriftsartikel (refereegranskat)abstract
    •  The spin injection dynamics of GaMnN/InGaN multiquantum well (MQW) light emitting diodes (LEDs) grown by molecular beam epitaxy were examined using picosecond-transient and circularly polarized photoluminescence (PL) measurements. Even with the presence of a room temperature ferromagnetic GaMnN spin injector, the LEDs are shown to exhibit very low efficiency of spin injection. Based on resonant optical orientation spectroscopy, the spin loss in the structures is shown to be largely due to fast spin relaxation within the InGaN MQW, which itself destroys any spin polarization generated by optical spin orientation or electrical spin injection. Typical photoluminescence decay times were 20-40 ns in both commercial GaN MQW LEDs with emission wavelengths between 420-470 nm and in the GaMnN/InGaN multi-quantum well MQW LEDs. In the wurtzite InGaN/GaN system, biaxial strain at the interfaces give rise to large piezoelectric fields directed along the growth axis. This built-in piezofield breaks the reflection symmetry of confining potential leading to the presence of a large Rashba term in the conduction band Hamiltonian which is responsible for the short spin relaxation times.
  •  
15.
  • Carlstrom, C. F., et al. (författare)
  • Characterization of damage in InP dry etched using nitrogen containing chemistries
  • 2001
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 19:5, s. 1905-1910
  • Tidskriftsartikel (refereegranskat)abstract
    • Etching of InP by different ion beam etching processes using chemistries containing nitrogen, such as nitrogen milling, N(CH3)(3) and Ar/N(CH3)(3) based reactive ion beam etching (RIBS), and N-2/CH4/H-2 based chemically assisted ion beam etching (CAIBE), is investigated. The residual surface damage is characterized using I-V characteristics of Au/InP metal-semiconductor (MS) contacts and photoluminescence (PL) yield measurements from near surface quantum wells and bulls InP. The contacts formed on as-etched surfaces, irrespective of the etch process, show ohmic behavior. On the other hand, although the PL yield is reduced for the different processes, the measured intensities show variations which are attributed to passivation of defects by hydrogen. Secondary ion mass spectroscopy (SIMS) was used to check (qualitatively) the presence of nitrogen in the etched and annealed InP samples. SIMS data show the presence of nitrogen in the near surface region of the etched samples, but nitrogen levels drop to background levels upon annealing at typical regrowth conditions (650 degreesC under phosphine flow). Interestingly, the annealing step results not only in a significant recovery of the PL yield, but also in a recovery of Schottky characteristics of the Au contacts formed on the annealed surfaces. These observations show that the etch-induced damage is significantly reduced by annealing and suggests recovery of the near-surface stoichiometry and possibly reduction of nitrogen-related defects.
  •  
16.
  • Chang, Tzu-Hsuan, et al. (författare)
  • Selective release of InP heterostructures from InP substrates
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report here a method of protecting the sidewall for the selective release of InGaAsP quantum-well (QW) heterostructure from InP substrates. An intact sidewall secured by SiO2 was demonstrated during the sacrificial layer selective etching, resulting in the suspended InGaAsP QW membranes which were later transferred to the Si substrate with polydimethylsiloxane stamp. The quality of the transferred InGaAsP QW membranes has been validated through photoluminescence and EL measurements. This approach could extend to arbitrary targeting substrate in numerous photonics and electronics applications.
  •  
17.
  • Chen, Shula, et al. (författare)
  • Core-shell carrier and exciton transfer in GaAs/GaNAs coaxial nanowires
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 34:4, s. 04J104-
  • Tidskriftsartikel (refereegranskat)abstract
    • Comprehensive studies of GaAs/GaNAs coaxial nanowires grown on Si substrates are carried out by temperature-dependent photoluminescence (PL) and PL excitation, to evaluate effects of the shell formation on carrier recombination. The PL emission from the GaAs core is found to transform into a series of sharp PL lines upon radial growth of the GaNAs shell, pointing toward the formation of localization potentials in the core. This hampers carrier transfer at low temperatures from the core in spite of its wider bandgap. Carrier injection from the core to the optically active shell is found to become thermally activated at Tamp;gt;60 K, which implies that the localization potentials are rather shallow. (C) 2016 American Vacuum Society.
  •  
18.
  • Douheret, O., et al. (författare)
  • Determination of spatial resolution in atomic-force-microscopy-based electrical characterization techniques using quantum well structures
  • 2005
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 23:1, s. 61-65
  • Tidskriftsartikel (refereegranskat)abstract
    • In this work, a procedure to determine the spatial resolution in scan ning capacitance (SCM) and scanning spreading resistance microscopy (SSRM) is proposed and demonstrated. It is based on profiling of confined carriers (in cross section) in quantum well (QW) structures consisting of QWs with different well widths and interwell spacing. Spatial resolution of sub-5 nm was observed for SSRM with commercially available diamond-coated silicon probes and sub-30 nm for SCM with IrPt5-coated probes. The influence of experimental parameters such as tip-sample bias and tip averaging on lateral resolution is discussed and appropriate measurement conditions for performing high-resolution measurements are highlighted. Finally, it is proposed that such structures can be used not only to select probes appropriate for high resolution measurements, but also in the development of new probes.
  •  
19.
  • Eklund, Per, et al. (författare)
  • Structural, electrical, and mechanical properties of nc-TiC/a-SiC nanocomposite thin films
  • 2005
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 23:6, s. 2486-2495
  • Tidskriftsartikel (refereegranskat)abstract
    • We have synthesized Ti–Si–C nanocomposite thin films by dc magnetron sputtering from a Ti3SiC2 compound target in an Ar discharge on Si(100), Al2O3(0001), and Al substrates at temperatures from room temperature to 300  °C. Electron microscopy, x-ray diffraction, and x-ray photoelectron spectroscopy showed that the films consisted of nanocrystalline (nc-) TiC and amorphous (a-) SiC, with the possible presence of a small amount of noncarbidic C. The growth mode was columnar, yielding a nodular film-surface morphology. Mechanically, the films exhibited a remarkable ductile behavior. Their nanoindentation hardness and E-modulus values were 20 and 290  GPa, respectively. The electrical resistivity was 330  µ  cm for optimal Ar pressure (4  mTorr) and substrate temperature (300  °C). The resulting nc-TiC/a-SiC films performed well as electrical contact material. These films' electrical-contact resistance against Ag was remarkably low, 6  µ at a contact force of 800  N compared to 3.2  µ for Ag against Ag. The chemical stability of the nc-TiC/a-SiC films was excellent, as shown by a Battelle flowing mixed corrosive-gas test, with no N, Cl, or S contaminants entering the bulk of the films.
  •  
20.
  • Erlandsson, Ragnar, et al. (författare)
  • Gas-induced restructuring of palladium model catalysts studied with atomic force microscopy
  • 1991
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 9:2, s. 825-828
  • Tidskriftsartikel (refereegranskat)abstract
    • The structure of thin Pd films evaporated onto planar SiO2 substrates changes dramatically during oxygen/hydrogen exposures in ultrahigh vacuum. In this work we have used an atomic force microscope(AFM), operated in the attractive mode, to obtain the three‐dimensional morphology of the Pd surface for different film thicknesses and treatments, and compared the data with transmission electron microscopy(TEM) micrographs. During restructuring, a 100‐Å film changes from being a smooth continuous film with cracks into metal clusters dispersed on the SiO2 support. In the 5‐Å case the metal films are already well dispersed as fabricated. Here the gas exposure instead results in a clustering effect resulting in larger particles. The AFM gives results which are consistent with TEM micrographs but also gives additional information on metal particle shape which can lead to a further understanding of the restructuring process.
  •  
21.
  • Forchheimer, Daniel, et al. (författare)
  • Molecularly selective nanopatterns using nanoimprint lithography : A label-free sensor architecture
  • 2011
  • Ingår i: Journal of Vacuum Science and Technology B. - : AVS Science and Technology Society. - 2166-2746 .- 2166-2754. ; 29:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Nanoimprint lithography (NIL) can generate well defined nanostructures with high efficiency and at very low cost. Molecular imprinting (MIP) is a "bottom-up" technique creating a polymer layer exhibiting structures with a molecular selectivity. Such polymer structures may be employed as molecular recognition sites for sensing applications. In this work, the authors combine NIL with MIP and they are able to obtain micro- and nanopatterns of polymer with features down to 100 nm that show high molecular selectivity.
  •  
22.
  • Fuchs, A., et al. (författare)
  • Nanowire fin field effect transistors via UV-based nanoimprint lithography
  • 2006
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 24:6, s. 2964-2967
  • Tidskriftsartikel (refereegranskat)abstract
    • A triple step alignment process for UV nanoimprint lithography (UV-NIL) for the fabrication of nanoscale fin field effect transistors (FinFETs) is presented. An alignment accuracy is demonstrated between two functional layers of less than 20 nm (3 sigma). The electrical characterization of the FinFETs fabricated by a full NIL process demonstrates the potential of UV-NIL for future nanoelectronic devices.
  •  
23.
  • Gottlob, H. D. B., et al. (författare)
  • Gd silicate : A high-k dielectric compatible with high temperature annealing
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567 .- 2166-2754 .- 2166-2746. ; 27:1, s. 249-252
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the investigation of amorphous Gd-based silicates as high-k dielectrics. Two different stacks of amorphous gadolinium oxide (Gd(2)O(3)) and silicon oxide (SiO(2)) on silicon substrates are compared after annealing at temperatures up to 1000 degrees C. Subsequently formed metal oxide semiconductor capacitors show a significant reduction in the capacitance equivalent thicknesses after annealing. Transmission electron microscopy, medium energy ion scattering, and x-ray diffraction analysis reveal distinct structural changes such as consumption of the SiO(2) layer and formation of amorphous Gd silicate. The controlled formation of Gd silicates in this work indicates a route toward high-k dielectrics compatible with conventional, gate first complementary metal-oxide semiconductor integration schemes.
  •  
24.
  • Gottlob, H D B, et al. (författare)
  • Scalable gate first process for silicon on insulator metal oxide semiconductor field effect transistors with epitaxial high-k dielectrics
  • 2006
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 24:2, s. 710-714
  • Tidskriftsartikel (refereegranskat)abstract
    • A "gate first" silicon on insulator (SOI) complementary metal oxide semiconductor process technology for direct evaluation of epitaxial gate dielectrics is described, where the gate stack is fabricated prior to any lithography or etching step. This sequence provides perfect silicon surfaces required for epitaxial growth. The inverted process flow with silicon dioxide (SiO2)/polysilicon gate stacks is demonstrated for gate lengths from 10 mu m down to 40 nm on a fully depleted 25 nm thin SOI film. The interface qualities at the front and back gates are investigated and compared to conventionally processed SOI devices. Furthermore, the subthreshold behavior is studied and the scalability of the gate first approach is proven by fully functional sub-100 nm transistors. Finally, a fully functional gate first metal oxide semiconductor field effect transistor with the epitaxial high-k gate dielectric gadolinium oxide (Gd2O3) and titanium nitride (TiN) gate electrode is presented.
  •  
25.
  • Gourgon, C., et al. (författare)
  • Benchmarking of 50 nm features in thermal nanoimprint
  • 2007
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 25:6, s. 2373-2378
  • Tidskriftsartikel (refereegranskat)abstract
    • The objective of this benchmarking is to establish a comparison of several tools and processes used in thermal NIL with Si stamps at the nanoscale among the authors' laboratories. The Si stamps have large arrays of 50 nm dense lines and were imprinted in all these laboratories in a similar to 100 nm thick mr-18010E film. Other materials, such as mr-17010E, were also tested. Good patterns were obtained and some limitations were identified. Reducing the pressure to 15 bars enables the printing of 50 nm structures without pulling them off. At higher pressures, some bending effects resulting in pattern deformation were observed. It was proven that a pressure of 1.5 bars is sufficient to imprint perfect 50 nm lines. The influence of the antiadhesive layer and mold design has been characterized by the demonstration of pulled off lines in some cases. Moreover, it has been shown that the scatterometry method is particularly useful for the characterization of 50 nm lines and that the residual layer thickness corresponds to the theoretical estimate as long as the lines are well defined. One process was demonstrated which combines high reproducibility with high throughput, achieving a cycle time of 2 min. (c) 2007 American Vacuum Society.
  •  
26.
  • Granqvist, Claes Göran (författare)
  • Oxide-based chromogenic coatings and devices for energy efficient fenestration : Brief survey and update on thermochromics and electrochromics
  • 2014
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 32:6, s. 060801-
  • Forskningsöversikt (refereegranskat)abstract
    • Modern buildings often have large windows and glass facades in order to achieve good day-lighting and desirable indoors-outdoors contact. These glazings are challenging with regard to the buildings' energy efficiency and frequently let in or out too much energy; this phenomenon has to be balanced by energy guzzling cooling or heating. In particular, the cooling requirement has grown strongly in recent years. Chromogenic glazings, based on thermochromism or electrochromism, are emerging technologies that can regulate the inflow of visible light and solar energy between widely separated limits and create better energy efficiency than is possible with static solutions. Thermochromic thin films-in practice based on vanadium dioxide-have a transmittance of infrared solar radiation that is smaller at high temperature than at low temperature. Electrochromic multilayer structures-often incorporating nanoporous thin films of tungsten oxide and nickel oxide-are able to vary the transmittance of visible light and solar energy when a low voltage is applied for a short time so as to shuttle charge between the two oxide films. Furthermore, and importantly, the new chromogenic fenestration technologies are able to improve indoor comfort. This brief tutorial review surveys the fields of oxide-based thermochromics and electrochromics with particular attention to recent advances.
  •  
27.
  • Gylfason, Kristinn B., 1978-, et al. (författare)
  • Process considerations for layer-by-layer 3D patterning of silicon, using ion implantation, silicon deposition, and selective silicon etching
  • 2012
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 30:6, s. 06FF05-
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors study suitable process parameters, and the resulting pattern formation, in additive layer-by-layer fabrication of arbitrarily shaped three-dimensional (3D) silicon (Si) micro- and nanostructures. The layer-by-layer fabrication process investigated is based on alternating steps of chemical vapor deposition of Si and local implantation of gallium ions by focused ion beam writing. In a final step, the defined 3D structures are formed by etching the Si in potassium hydroxide, where the ion implantation provides the etching selectivity.
  •  
28.
  • Hedlund, Christer, 1964-, et al. (författare)
  • A Method for the Determination of the Angular Dependence during Dry Etching
  • 1996
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 14:5, s. 3239-
  • Tidskriftsartikel (refereegranskat)abstract
    • Process simulation is going to play an ever increasing role in the development, process optimization, and production of integrated circuit devices, yielding shorter development times and reduced costs as compared to traditional development methods. One of the most notorious problems one faces in topography simulations in particular is the determination of the erosion/growth rates of materials exposed to a variety of complex physicochemical processes. The latter evolve continually to satisfy the needs of the ever advancing microelectronic industry, while our understanding about these processes is often incomplete and insufficient for their description. Existing theoreticalmodels, which are often semiempirical, include a set of fitting parameters which are generally unknown and their determination in most cases involves guesswork. Another much more pragmatical approach to the problem is to measure these etch/growth rates directly in situ in the production equipment and feed the data into a topography simulator. In this article we present a simple and general method for measuring the angular dependence of the etch rate of a variety of materials using specially patterned silicon wafers. With anisotropic wet etching of silicon wafers it is possible to create structures defined by specific crystallographic planes, thus producing a variety of planar orientations on one and the same wafer. The structures can be oxidized and coated with the material of interest and processed under standard operating conditions. The method will be presented together with angular dependence data from typical dry etching processes. The results will be used as an input to the topographysimulation program DINESE.
  •  
29.
  • Henschel, W, et al. (författare)
  • Fabrication of 12 nm electrically variable shallow junction metal-oxide-semiconductor field effect transistors on silicon on insulator substrates
  • 2003
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 21:6, s. 2975-2979
  • Tidskriftsartikel (refereegranskat)abstract
    • Electrically variable shallow junction metal-oxide-semiconductor field effect transistors on silicon on insulator have been fabricated to evaluate the suitability of fabrication processes on a nanoscale. In addition, the limits of scalability have been explored reducing gate lengths down to 12 nm. Specific attention has been paid to the overlay accuracy as required for the fabrication of these double gate structures. The superior quality of hydrogen silsesquioxane (HSQ) as electron beam resist and as mask material is demonstrated. The transistor fabricated exhibits extremely low leakage currents and relatively high on currents. The 8 orders of magnitude difference between the on and off states demonstrates conclusively large potentials for metal-oxide-semiconductor structures with critical dimensions in the 10 nm regime. (C) 2003 American Vacuum Society.
  •  
30.
  • Holmberg, Anders, et al. (författare)
  • Controlled electroplating for high-aspect-ratio zone plate fabrication
  • 2006
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 24:6, s. 2592-2596
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report a method for monitoring, control, and end-point detection of electroplating in nanostructures. The method is demonstrated on nickel plating into polymer molds, which is an important process in the fabrication of soft x-ray zone-plate diffractive optics. The lack of reproducibility presently limits the achievable nickel aspect ratio and, thus, reduces the zone-plate diffraction efficiency. The reported method provides reproducible plating via real-time control of the plating rate. It combines in situ light transmission measurements with current measurements to determine the thickness of the growing layer. The accuracy of the thickness prediction was better than ±4% (1) for 100–300  nm nickel layers. Furthermore, a slight change in the light transmission signal indicates when a gratinglike zone-plate structure is slightly overplated and the plating should be stopped. This end-point detection provides the optimal filling of high-aspect-ratio molds for improved diffraction efficiency.
  •  
31.
  • Håkanson, Ulf, et al. (författare)
  • Ultrahigh vacuum scanning probe investigations of metal induced void formation in SiO2/Si(111))
  • 2002
  • Ingår i: JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 20:1, s. 226-229
  • Konferensbidrag (refereegranskat)abstract
    • Using scanning electron microscopy guidance, atomically resolved scanning tunneling microscopy investigations inside openings formed during the decomposition of a thick SiO2 film on a Si(111) surface have been performed. We report, for the first time, the presence of Ni atoms inside self-formed openings. The SiO2 decomposition as a function of annealing temperature and time was studied. By comparing self-formed and lithographically designed openings in the SiO2 layer we have shown that Ni contamination of the SiO2/Si(111) has a profound effect on the formation of self-induced lateral oxide openings. (C) 2002 American Vacuum Society.
  •  
32.
  • Jablonka, Lukas, et al. (författare)
  • Formation of nickel germanides from Ni layers with thickness below 10 nm
  • 2017
  • Ingår i: Journal of Vacuum Science & Technology B. - : A V S AMER INST PHYSICS. - 1071-1023 .- 1520-8567. ; 35:2
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors have studied the reaction between a Ge (100) substrate and thin layers of Ni ranging from 2 to 10 nm in thickness. The formation of metal-rich Ni5Ge3 was found to precede that of the monogermanide NiGe by means of real-time in situ x-ray diffraction during ramp-annealing and ex situ x-ray pole figure analyses for phase identification. The observed sequential growth of Ni5Ge3 and NiGe with such thin Ni layers is different from the previously reported simultaneous growth with thicker Ni layers. The phase transformation from Ni5Ge3 to NiGe was found to be nucleationcontrolled for Ni thicknesses < 5 nm, which is well supported by thermodynamic considerations. Specifically, the temperature for the NiGe formation increased with decreasing Ni (rather Ni5Ge3) thickness below 5 nm. In combination with sheet resistance measurement and microscopic surface inspection of samples annealed with a standard rapid thermal processing, the temperature range for achieving morphologically stable NiGe layers was identified for this standard annealing process. As expected, it was found to be strongly dependent on the initial Ni thickness.
  •  
33.
  • Jacob, AP, et al. (författare)
  • Post-growth process relaxation properties of strained Cd0.92Zn0.08Te/Cd0.83Zn0.17Te quantum well heterostructures grown by molecular beam epitaxy
  • 2004
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society; 1999. - 1071-1023 .- 1520-8567. ; 22:2, s. 565-569
  • Tidskriftsartikel (refereegranskat)abstract
    • The post-growth structural stability regarding relaxation and defect propagation in Cd0.83Zn0.17Te/Cd0.92Zn0.08Te/Cd0.83Zn0.17Te quantum well (QW) heterostructures grown on [001] oriented Cd0.88Zn0.12Te substrates at 300degreesC by molecular beam epitaxy is investigated. The investigated heterostructures were subjected to post-growth thermal treatment in an ambient atmosphere in a temperature range between 280 and 550degreesC for 3 It each. We have used high-resolution x-ray diffraction as the main characterization tool. High-resolution rocking curves as well as the powerful two-dimensional reciprocal space mapping were employed in both symmetrical as well as asymmetrical reflections. The results indicate that at a post-growth temperature cycle of 350degreesC for 3 h slight modification of the Cd0.83Zn0.17Te/Cd0.92Zn0.08Te barrier/QW heterointerface smoothness is affected. This indicates the onset of migration of Zn atoms at this post-growth temperature time cycle. At 450 degreesC, this effect is more pronounced and seen as the complete disappearance of thickness fringes. For higher post-growth thermal treatment at 550 degreesC for 3 h, a hi-fi relaxation level accompanied by Zn content reduction is observed. A reduction of the Zn content down to 0.11 fractional value in the thick Cd0.83Zn0.17Te barrier is attributed to Zn out diffusion and/or Zn precipitation. (C) 2004 American Vacuum Society.
  •  
34.
  • Johansson, Fredrik, et al. (författare)
  • Nanomodified surfaces and guidance of nerve cell processes
  • 2008
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567 .- 1071-1023. ; 26:6, s. 2558-2561
  • Konferensbidrag (refereegranskat)abstract
    • Axonal growth and guidance were studied on different micro- and nanostructured surfaces. Nanoimprinted grooves in a polymer, epitaxial III/V nanowires, porous silicon patterns, and chemically altered surfaces were all shown to induce axonal guidance. Neurons were also found to be able to attach and grow on gallium phosphide nanowires without compromising cell survival. The results are important for the construction of a new generation of neuroelectrical interfaces, including high spatial resolution electrodes. The advantages of the different nanostructured surfaces are discussed.
  •  
35.
  • Kawasaki, Jason K., et al. (författare)
  • Cross-sectional scanning tunneling microscopy and spectroscopy of semimetallic ErAs nanostructures embedded in GaAs
  • 2011
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1520-8567 .- 1071-1023. ; 29:3, s. 03-104
  • Konferensbidrag (refereegranskat)abstract
    • The growth and atomic/electronic structure of molecular beam epitaxy-grown ErAs nanoparticles and nanorods embedded within a GaAs matrix are examined for the first time via cross-sectional scanning tunneling microscopy and spectroscopy. Cross sections enable the interrogation of the internal structure and are well suited for studying embedded nanostructures. The early stages of embedded ErAs nanostructure growth are examined via these techniques and compared with previous cross-sectional transmission electron microscopy work. Tunneling spectroscopy I(V) for both ErAs nanoparticles and nanorods was also performed, demonstrating that both nanostructures are semimetallic. (C) 2011 American Vacuum Society. [DOI: 10.1116/1.3547713]
  •  
36.
  • Kuepper, David, et al. (författare)
  • Megasonic-assisted development of nanostructures
  • 2006
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 24:4, s. 1827-1832
  • Tidskriftsartikel (refereegranskat)abstract
    • The effect of high frequency (1 MHz) acoustic agitation (megasonic agitation) on development of electron beam exposed poly(methylmethacrylate) (PMMA) nanostructures is investigated. Test patterns consisting of dense holes, isolated lines, and gratings with high aspect ratios have been used. Compared to conventional dip development, the sensitivity of the development process is increased and the homogeneity of nanopatterns is improved considerably. Furthermore, experiments towards ultimate aspect ratios and resolution of PMMA in the range of 2 - 3 ran with megasonically assisted development have been carried out. The physical mechanisms for the observed enhanced development performance which is particularly attractive for nanostructuring are discussed. (c) 2006 American Vacuum Society.
  •  
37.
  • Kupper, D, et al. (författare)
  • Impact of supercritical CO(2) drying on roughness of hydrogen silsesquioxane e-beam resist
  • 2006
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 24:2, s. 570-574
  • Tidskriftsartikel (refereegranskat)abstract
    • Surface roughness (SR) and, especially, the closely related line-edge roughness (LER) of nanostructures are important issues in advanced lithography. In this study, the origin of surface roughness in the negative tone electron resist hydrogen silsesquioxane is shown to be associated with polymer aggregate extraction not only during resist development but also during resist drying. In addition, the impact of exposure dose and resist development time on SR is clarified. Possibilities to reduce SR and LER of nanostructures by optimizing resist rinsing and drying are evaluated. A process of supercritical CO(2) resist drying that delivers remarkable reduction of roughness is presented. (c) 2006 American Vacuum Society.
  •  
38.
  • Leerungnawarat, P., et al. (författare)
  • Via-hole etching for SiC
  • 1999
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 17, s. 2050-2054
  • Tidskriftsartikel (refereegranskat)abstract
    • Four different F2-based plasma chemistries for high-rate etching of SiC under inductively coupled plasma (ICP) conditions were examined. Much higher rates (up to 8000 #x2009; #xc5; #x2009;min-1) were achieved with NF3 and SF6 compared with BF3 and PF5, in good correlation with their bond energies and their dissociation efficiency in the ICP source. Three different materials (Al, Ni, and indium #x2013;tin oxide) were compared as possible masks during deep SiC etching for through-wafer via holes. Al appears to produce the best etch resistance, particularly when O2 is added to the plasma chemistry. With the correct choice of plasma chemistry and mask material, ICP etching appears to be capable of producing via holes in SiC substrates. #xa9; 1999 American Vacuum Society.
  •  
39.
  • Lemme, Max C., 1970-, et al. (författare)
  • Complementary metal oxide semiconductor integration of epitaxial Gd(2)O(3)
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 27:1, s. 258-261
  • Tidskriftsartikel (refereegranskat)abstract
    • In this paper, epitaxial gadolinium oxide (Gd(2)O(3)) is reviewed as a potential high-K gate dielectric, both "as deposited" by molecular beam epitaxy as well as after integration into complementary metal oxide semiconductor (CMOS) processes. The material shows promising intrinsic properties, meeting critical ITRS targets for leakage current densities even at subnanometer equivalent oxide thicknesses. These epitaxial oxides can be integrated into a CMOS platform by a "gentle" replacement gate process. While high temperature processing potentially degrades the material, a route toward thermally stable epitaxial Gd(2)O(3) gate dielectrics is explored by carefully controlling the annealing conditions.
  •  
40.
  • Li, Xun, et al. (författare)
  • Precursors for carbon doping of GaN in chemical vapor deposition
  • 2015
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 33:2, s. 021208-
  • Tidskriftsartikel (refereegranskat)abstract
    • Methane (CH4), ethylene (C2H4), acetylene (C2H2), propane (C3H8), iso-butane (i-C4H10), and trimethylamine [N(CH3)(3)] have been investigated as precursors for intentional carbon doping of (0001) GaN in chemical vapor deposition. The carbon precursors were studied by comparing the efficiency of carbon incorporation in GaN together with their influence on morphology and structural quality of carbon doped GaN. The unsaturated hydrocarbons C2H4 and C2H2 were found to be more suitable for carbon doping than the saturated ones, with higher carbon incorporation efficiency and a reduced effect on the quality of the GaN epitaxial layers. The results indicate that the C2H2 molecule as a direct precursor, or formed by the gas phase chemistry, is a key species for carbon doping without degrading the GaN quality; however, the CH3 species should be avoided in the carbon doping chemistry.
  •  
41.
  • Lindblom, Magnus, et al. (författare)
  • High-aspect-ratio germanium zone plates fabricated by ractive ion etching in chlorine
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 27:2, s. L1-L3
  • Tidskriftsartikel (refereegranskat)abstract
    • This article describes the fabrication of soft x-ray germanium zone plates with a process based on reactive ion etching (RIE) in Cl-2. A high degree of anisotropy is achieved by sidewall passivation through cyclic exposure to air. This enables structuring of higher aspect ratios than with earlier reported fabrication processes for germanium zone plates. The results include a zone plate with a 30 nm outermost zone width and a germanium thickness of 310 tun having a first-order diffraction efficiency of 70% of the theoretical value. 25 nm half-pitch gratings were also etched into 310 nut of germanium. Compared to the electroplating process for the commonly used nickel zone plates, the RIE process with Cl-2, for germanium is a major improvement in terms of process reproducibility.
  •  
42.
  • Lindblom, Magnus, et al. (författare)
  • Nickel-germanium soft x-ray zone plates
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 27:3, s. L5-L7
  • Tidskriftsartikel (refereegranskat)abstract
    • This article presents a fabrication process for soft x-ray zone plates in which nickel and germanium are combined to achieve high diffraction efficiency. A nickel zone plate is first fabricated on a germanium film and then used as a hardmask for a CHF3-plasma etch into the germanium. Zone plates with 50-60 nm nickel and 110-150 nm of germanium are presented. The measured diffraction efficiencies were 10%-11% at lambda=2.88 nm, which shows that high efficiency is possible even with thin nickel. Thus, the method has a potential for improving the efficiency of high-resolution zone plates for which the high-aspect-ratio structuring of nickel is difficult.
  •  
43.
  • Lindblom, Magnus, et al. (författare)
  • Pulse reverse electroplating for uniform nickel height in zone plates
  • 2006
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 24, s. 2848-
  • Tidskriftsartikel (refereegranskat)abstract
    • Nickelsoft x-ray zone plates are fabricated by through-mask electroplating. Theauthors report on how a uniform nickel thickness can beobtained over the entire zone plate using pulse and pulsereverse plating. If the plating is carried out at aconstant current the nickel thickness has been observed to decreasewith radius. This results in lower outer zones and reduceddiffraction efficiency in the outer parts of the zone plates.Here they show that the height profile can be controlledby adjusting the current density of the pulses. A highcurrent density is found to primarily affect the edges whilea low current density was observed to affect the centralparts of the structures. This is true for both cathodicand anodic currents, which means that local plating and dissolutionrates can be adjusted to obtain a uniform mass distribution.
  •  
44.
  • Lu, Y., et al. (författare)
  • Leakage current effects on C-V plots of high-k metal-oxide-semiconductor capacitors
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567 .- 2166-2754 .- 2166-2746. ; 27:1, s. 352-355
  • Tidskriftsartikel (refereegranskat)abstract
    • With the employment of ultrathin, high dielectric constant gate materials in advanced semiconductor technology, the conventional capacitance-voltage measurement technique exhibits a series of anomalies. In particular, a nonsaturating increase in the accumulation capacitance with reducing measurement frequency is frequently observed, which has not been adequately explained to our knowledge. In this article, the authors provide an explanation for this anomaly and hence set a criterion for the lower bound on measurement frequency. We then present a model which allows the easy extraction of the required parameters and apply it to an experimental set of data.
  •  
45.
  • Luo, Jun, et al. (författare)
  • Interaction of NiSi with dopants for metallic source/drain applications
  • 2010
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 28:1, s. C1I1-C1I11
  • Tidskriftsartikel (refereegranskat)abstract
    • This work has a focus on NiSi as a possible metallic contact for aggressively scaled complementary metal oxide semiconductor devices. As the bulk work function of NiSi lies close to the middle of Si bandgap, the Schottky barrier height (SBH) of NiSi is rather large for both electron (similar to 0.65 eV) and hole (similar to 0.45 eV). Different approaches have therefore been intensively investigated in the literature aiming at reducing the effective SBH: dopant segregation (DS), surface passivation (SP), and alloying, in order to improve the carrier injection into the conduction channel of a field-effect transistor. The present work explores DS using B and As for the NiSi/Si contact system. The effects of C and N implantation into Si substrate prior to the NiSi formation are examined, and it is found that the presence of C yields positive effects in helping reduce the effective SBH to 0.1-0.2 eV for both conduction polarities. A combined use of DS or SP with alloying could be considered for more effective control of effective SBH, but an examination of undesired compound formation and its probable consequences is necessary. Furthermore, an analysis of the metal silicides that have a small "intrinsic" SBH reveals that only a very small number of them are of practical interest as most of the silicides require either a high formation temperature or possess a high specific resistivity.
  •  
46.
  •  
47.
  • Mardani, Shabnam, 1983-, et al. (författare)
  • Electromigration behavior of Cu metallization interfacing with Ta versus TaN at high temperatures
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 34:6
  • Tidskriftsartikel (refereegranskat)abstract
    • High-temperature stability of Cu-based interconnects is of technological importance for electronic circuits based on wide band gap semiconductors. In this study, different metal stack combinations using Ta or TaN as capping- and/or barrier-layer, in the configuration cap/Cu/barrier, are evaluated electrically and morphologically prior to and after high-temperature treatments. The symmetric combinations Ta/Cu/Ta and TaN/Cu/TaN are characterized by a low and stable sheet resistance after annealing up to 700 °C. Asymmetric combinations of Ta/Cu/TaN and TaN/Cu/Ta, however, display an increase in sheet resistance values after annealing at 500 °C and above. This increase in sheet resistance is considered to result from Ta diffusion into the grain boundaries of the Cu film. The preliminary electromigration studies on the TaN/Cu/Ta and TaN/Cu/TaN structures show a twofold higher activation energy and a tenfold longer lifetime for the former, thus suggesting an important role of the interface between Cu and the cap and/or barrier.
  •  
48.
  • Mardani, Shabnam, et al. (författare)
  • High-temperature Ta diffusion in the grain boundary of thin Cu films
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 34
  • Tidskriftsartikel (refereegranskat)abstract
    • In order to ascertain the applicability of the technologically well-established Cu metallization in high-temperature circuits, the authors have investigated layered metal stacks having one Ta/Cu interface at temperatures from 400 to 700 degrees C. The authors have found that Ta releases from the Ta layer and moves through the Cu film to the opposite interface via the grain boundaries. In the simplest bilayer stack with Cu on top of Ta, the up-diffused Ta on the surface spreads out over the Cu grains so as to cover the Cu grains completely at 650 degrees C. The activation energy for the grain boundary diffusion is found to be 1.060.3 eV. The Ta diffusion in the grain boundaries leads to stabilization of the Cu grain size at 360 nm and an increase in sheet resistance of the metal stack. The latter is in fact observed for all metal stacks having Cu in contact with Ta on one side and TaN or nothing at all on the other. The implication is that the Cu metallization with one Ta/Cu interface has to be stabilized by a preanneal at the highest anticipated operating temperature before use.
  •  
49.
  •  
50.
  • Mastropaolo, Enrico, et al. (författare)
  • Electrothermal actuation of silicon carbide ring resonators
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 27:6, s. 3109-3114
  • Tidskriftsartikel (refereegranskat)abstract
    • Silicon carbide (SiC) ring resonators have been designed, simulated, and fabricated in order to achieve higher resonant frequency compared to beam resonators. The resonant frequency as a function of the ring radius and central hole radius, as well as the influence of the electrode design on the actuation efficiency have been investigated. Aluminum (Al) electrodes have been fabricated on top of the structures in order to study the electrothermal actuation of the structures. The bimorph Al/SiC ring resonators have been constructed by etching the SiC in inductively coupled plasma. The release of the Si sacrificial layer has been performed with a XeF2 chemical etching. The radial release and area release have been characterized as a function of the central hole dimension at chamber pressure of 1 and 2 Torr, whereby the release rates have been found to increase as the hole dimensions and the etching pressure increases. In addition, the release process has shown to be governed by aperture effects. The rings fabricated with different dimensions have been actuated mechanically and electrothermally, and the resonant frequency detected optically. The resonant frequency has been shown to increase as the ring radius decreases and the hole radius increases, both theoretically and experimentally.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-50 av 97
Typ av publikation
tidskriftsartikel (90)
konferensbidrag (6)
forskningsöversikt (1)
Typ av innehåll
refereegranskat (96)
övrigt vetenskapligt/konstnärligt (1)
Författare/redaktör
Montelius, Lars (11)
Lemme, Max C., 1970- (10)
Holmberg, Anders (9)
Anand, Srinivasan (9)
Zhang, Shi-Li (7)
Kurz, H. (7)
visa fler...
Hertz, Hans M. (7)
Samuelson, Lars (5)
Wernersson, Lars-Eri ... (4)
Schmidt, M. (4)
Janzén, Erik (3)
Maximov, Ivan (3)
Östling, Mikael (3)
Prinz, Christelle (3)
Jansson, Ulf (3)
Fuchs, A (3)
Berg, Sören (3)
Suyatin, Dmitry (3)
Keil, M. (2)
Heidari, B (2)
Hultman, Lars (2)
Graczyk, Mariusz (2)
Primetzhofer, Daniel (2)
Efavi, J. K. (2)
Lundström, Ingemar (2)
Nilsson, Daniel (2)
Beck, Marc (2)
Smith, Ulf (2)
Nyberg, Tomas (2)
Pedersen, Henrik (2)
Berrier, Audrey (2)
Kanje, Martin (2)
Zakharov, Alexei (2)
Mikkelsen, Anders (2)
Hall, S. (2)
Mulot, Mikael (2)
Swillo, Marcin (2)
Ferrini, R. (2)
Houdre, R. (2)
Forchel, A. (2)
Petersson, CS (2)
Gustavsson, Fredrik (2)
Håkanson, Ulf (2)
Hertz, Hans (2)
Astromskas, Gvidas (2)
Timm, Rainer (2)
Talneau, A. (2)
Kristensen, A (2)
Engström, Olof, 1943 (2)
Blom, Hans-Olof (2)
visa färre...
Lärosäte
Kungliga Tekniska Högskolan (41)
Lunds universitet (24)
Uppsala universitet (18)
Linköpings universitet (14)
Chalmers tekniska högskola (6)
Göteborgs universitet (3)
visa fler...
Mittuniversitetet (2)
Luleå tekniska universitet (1)
Malmö universitet (1)
visa färre...
Språk
Engelska (97)
Forskningsämne (UKÄ/SCB)
Naturvetenskap (50)
Teknik (33)
Medicin och hälsovetenskap (1)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy