SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "L773:2166 2746 OR L773:2166 2754 "

Sökning: L773:2166 2746 OR L773:2166 2754

  • Resultat 1-50 av 59
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Abuwasib, Mohammad, 1982, et al. (författare)
  • Fabrication of large dimension aluminum air-bridges for superconducting quantum circuits
  • 2013
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 31:3, s. 031601-
  • Tidskriftsartikel (refereegranskat)abstract
    • Proper grounding between different ground planes in coplanar superconducting qubit circuits is important to avoid spurious resonances which increase decoherence. Here, the authors present a possible solution to suppress such undesired modes using superconducting aluminum air-bridges which have been fabricated on top of aluminum coplanar waveguide transmission lines. 3D electromagnetic simulations were done to guide the design of the air-bridges such that the input reflection (S11) of the bridges was kept at a minimum level. A fabrication method based on optical lithography techniques was developed and it resulted in air-bridges with a height of approximately 10 μm and lengths of up to 500 μm. The method can be generalized to arbitrary length air-bridge with heights even exceeding 15 μm.
  •  
2.
  • Ahlberg, Patrik, et al. (författare)
  • Toward synthesis of oxide films on graphene with sputtering based processes
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The impact of energetic particles associated with a sputter deposition process may introduce damage to single layer graphene films, making it challenging to apply this method when processing graphene. The challenge is even greater when oxygen is incorporated into the sputtering process as graphene can be readily oxidized. This work demonstrates a method of synthesizing ZnSn oxide on graphene without introducing an appreciable amount of defects into the underlying graphene. Moreover, the method is general and applicable to other oxides. The formation of ZnSn oxide is realized by sputter deposition of ZnSn followed by a postoxidation step. In order to prevent the underlying graphene from damage during the initial sputter deposition process, the substrate temperature is kept close to room temperature, and the processing pressure is kept high enough to effectively suppress energetic bombardment. Further, in the subsequent postannealing step, it is important not to exceed temperatures resulting in oxidation of the graphene. The authors conclude that postoxidation of ZnSn is satisfactorily performed at 300 degrees C in pure oxygen at reduced pressure. This process results in an oxidized ZnSn film while retaining the initial quality of the graphene film.
  •  
3.
  • Ahlskog, Markus, et al. (författare)
  • Individual arc-discharge synthesized multiwalled carbon nanotubes probed with multiple measurement techniques
  • 2020
  • Ingår i: Journal of Vacuum Science and Technology B. - : A V S AMER INST PHYSICS. - 2166-2746 .- 2166-2754. ; 38:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Arc-discharge synthesized multiwalled carbon nanotubes (AD-MWNT), or related MWNTs, exhibit a good quality compared to the more common type of MWNT synthesized by catalytic chemical vapor deposition methods. Yet experimental measurements on these are rather few and typically have not correlated data from different measurement techniques. Here, the authors report Raman spectroscopy, scanning probe microscopy, conductivity measurements, and force microscopy on single AD-MWNTs. The results demonstrate the high quality of AD-MWNTs and are compatible with the view of them as the best approximation of MWNTs as an assembly of defect-free concentric individual single-walled carbon nanotubes. The authors also demonstrate conductance measurements over a step on the surface of an AD-MWNT, which is due to an abruptly broken outer layer(s), whereby the interlayer resistance is measured.
  •  
4.
  • Andersson, Eric, 1992, et al. (författare)
  • Nondestructive cleaning of the LaAlO3/SrTiO3 surface with ultraviolet light and ozone
  • 2016
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The effect of ultraviolet light produced ozone and irradiation (UV/ozone) cleaning on the surface properties and interface electrical properties of 4 unit cell (uc) LaAlO3/SrTiO3 samples is examined. A standard photolithography process is used to contaminate the samples which are then cleaned in UV/ozone. Atomic force microscopy measurements show that the photoresist contaminated samples can be cleaned efficiently using this method. The surface roughness of the cleaned samples is comparable to that of the as-grown samples. Furthermore, electrical transport measurements show that the mobility decreases and the sheet carrier density increases for the contaminated samples, which also display indications of an onset to the Kondo effect. By removing the contaminants with UV/ozone cleaning, the mobility and sheet carrier density can be partially restored toward the as-grown values. The mobility is increased by about two times from approximate to 1000 cm(2) V-1 s(-1) for the contaminated samples to approximate to 2000 cm(2) V-1 s(-1) for the ozone cleaned ones. (C) 2016 American Vacuum Society.
  •  
5.
  • Andric, Stefan, et al. (författare)
  • Low-temperature back-end-of-line technology compatible with III-V nanowire MOSFETs
  • 2019
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 37:6
  • Tidskriftsartikel (refereegranskat)abstract
    • We present a low-temperature processing scheme for the integration of either lateral or vertical nanowire (NW) transistors with a multilayer back-end-of-line interconnect stack. The nanowire device temperature budget has been addressed, and materials for the interconnect fabrication have been selected accordingly. A benzocyclobutene (BCB) polymer is used as an interlayer dielectric, with interconnect vias formed by reactive ion etching. A study on via etching conditions for multiple interlayer dielectric thicknesses reveals that the sidewall slope can be engineered. An optimal reactive ion etch is identified at 250 mTorr chamber pressure and power of 160 W, using an SF6 to O2 gas mix of 4%. This results in a low via resistance, even for scaled structures. The BCB dielectric etch rate and dielectric-to-soft mask etch selectivity are quantified. Electrical measurements on lateral and vertical III-V NW transistors, before and after the back-end-of-line process, are presented. No performance degradation is observed, only minor differences that are attributed to contact annealing and threshold voltage shift.
  •  
6.
  • Arwin, Hans, et al. (författare)
  • Effective structural chirality of beetle cuticle determined from transmission Mueller matrices using the Tellegen constitutive relations
  • 2020
  • Ingår i: Journal of Vacuum Science and Technology B. - : A V S AMER INST PHYSICS. - 2166-2746 .- 2166-2754. ; 38:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Several beetle species in the Scarabaeoidea superfamily reflect left-handed polarized light due to a circular Bragg structure in their cuticle. The right-handed polarized light is transmitted. The objective here is to evaluate cuticle chiral properties in an effective medium approach using transmission Mueller matrices assuming the cuticle to be a bianisotropic continuum. Both differential decomposition and nonlinear regression were used in the spectral range of 500-1690nm. The former method provides the sample cumulated birefringence and dichroic optical properties and is model-free but requires a homogeneous sample. The materials chirality is deduced from the circular birefringence and circular dichroic spectra obtained. The regression method requires dispersion models for the optical functions but can also be used in more complex structures including multilayered and graded media. It delivers the material properties in terms of model functions of materials permittivity and chirality. The two methods show excellent agreement for the complex-valued chirality spectrum of the cuticle.
  •  
7.
  • Astromskas, Gvidas, et al. (författare)
  • Thin InAs membranes and GaSb buffer layers on GaAs(001) substrates
  • 2012
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 30:5
  • Tidskriftsartikel (refereegranskat)abstract
    • Thin InAs layers and membranes are fabricated on GaAs substrates using GaSb buffer layers grown by MOVPE. The quality of the GaSb buffer layers is optimized and epitaxial InAs layers are grown on GaSb layers of various thickness. The best GaSb buffer layers are obtained for a nucleation temperature of 450 degrees C and a subsequent growth temperature of 570 degrees C with a V/III ratio of 3, as confirmed by both the structural (high-resolution XRD, AFM) and electrical (Hall) measurements. Furthermore, a clear relationship between the structural quality of the GaSb and InAs layers is established. Finally, free-standing InAs structures are fabricated where Hall measurements reveal a mobility that depends on the film thickness.
  •  
8.
  • Awan, Kashif Masud, et al. (författare)
  • Nanostructuring of GaAs with tailored topologies using colloidal lithography and dry etching
  • 2014
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 32:2, s. 021801-
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the fabrication of GaAs nanopillars with different profiles/topologies using colloidal lithography and dry etching. GaAs nanopillars with different shapes and dimensions were successfully fabricated using inductively coupled plasma reactive ion etching. Two different etch chemistries CH4/H-2/Cl-2 and Ar/Cl-2 were investigated. The fabricated nanopillar arrays had a typical period of similar to 500 nm, and the depths could be varied from a few nanometers to 4 mu m. The CH4/H-2/Cl-2 chemistry with optimized gas flows and plasma powers is shown to produce nanopillars with smooth sidewalls compared to those fabricated with the Ar/Cl-2 chemistry. The GaAs nanopillar arrays have appreciably lower reflectivities in the measured wavelength range from 400 to 850 nm and are typically one order of magnitude lower compared to planar GaAs, which shows their potential for photovoltaic applications.
  •  
9.
  • Bonmann, Marlene, 1988, et al. (författare)
  • Effect of oxide traps on channel transport characteristics in graphene field effect transistors
  • 2017
  • Ingår i: Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. - : American Vacuum Society. - 2166-2754 .- 2166-2746. ; 35:1, s. 01A115-
  • Tidskriftsartikel (refereegranskat)abstract
    • A semiempirical model describing the influence of interface states on characteristics of gatecapacitance and drain resistance versus gate voltage of top gated graphene field effect transistors ispresented. By fitting our model to measurements of capacitance–voltage characteristics and relatingthe applied gate voltage to the Fermi level position, the interface state density is found. Knowing theinterface state density allows us to fit our model to measured drain resistance–gate voltagecharacteristics. The extracted values of mobility and residual charge carrier concentration arecompared with corresponding results from a commonly accepted model which neglects the effect ofinterface states. The authors show that mobility and residual charge carrier concentration differsignificantly, if interface states are neglected. Furthermore, our approach allows us to investigate indetail how uncertainties in material parameters like the Fermi velocity and contact resistanceinfluence the extracted values of interface state density, mobility, and residual charge carrierconcentration.
  •  
10.
  • Chang, Tzu-Hsuan, et al. (författare)
  • Selective release of InP heterostructures from InP substrates
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 34:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report here a method of protecting the sidewall for the selective release of InGaAsP quantum-well (QW) heterostructure from InP substrates. An intact sidewall secured by SiO2 was demonstrated during the sacrificial layer selective etching, resulting in the suspended InGaAsP QW membranes which were later transferred to the Si substrate with polydimethylsiloxane stamp. The quality of the transferred InGaAsP QW membranes has been validated through photoluminescence and EL measurements. This approach could extend to arbitrary targeting substrate in numerous photonics and electronics applications.
  •  
11.
  • Chen, Shula, et al. (författare)
  • Core-shell carrier and exciton transfer in GaAs/GaNAs coaxial nanowires
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 34:4, s. 04J104-
  • Tidskriftsartikel (refereegranskat)abstract
    • Comprehensive studies of GaAs/GaNAs coaxial nanowires grown on Si substrates are carried out by temperature-dependent photoluminescence (PL) and PL excitation, to evaluate effects of the shell formation on carrier recombination. The PL emission from the GaAs core is found to transform into a series of sharp PL lines upon radial growth of the GaNAs shell, pointing toward the formation of localization potentials in the core. This hampers carrier transfer at low temperatures from the core in spite of its wider bandgap. Carrier injection from the core to the optically active shell is found to become thermally activated at Tamp;gt;60 K, which implies that the localization potentials are rather shallow. (C) 2016 American Vacuum Society.
  •  
12.
  • Dick Thelander, Kimberly, et al. (författare)
  • Parameter space mapping of InAs nanowire crystal structure
  • 2011
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 29:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Crystal structure and defects have been shown to have a strong impact on III-V nanowire properties. Recently, it was demonstrated that the issue of random stacking and polytypism in semiconductor nanowires can often be controlled using accessible growth parameters (such as temperature, diameter, and V/III ratio). In addition, it has been shown that crystal phase can be tuned selectively between cubic zinc blende and hexagonal wurtzite within individual nanowires of III-V materials such as InAs. In order for such results to be generally applied to different growth setups, it is necessary to fully explore and understand the trends governing crystal phase dependencies on all accessible growth parameters, including how they relate to each other. In this study, the authors have systematically investigated the influence of temperature, diameter, V/III ratio, and total mass flow on the crystal structure of InAs nanowires grown by metal-organic vapor phase epitaxy over a broad parameter range. The authors observed that each of these accessible parameters can affect the resulting crystal structure, and that the trends for each parameter are affected by the magnitude of the others. The authors also noted that most of the parameter dependencies are nonlinear and, in fact, exhibit threshold values at which structure changes discontinuously. By optimizing each of the growth parameters, it is shown that pure ZB or pure WZ phase can be achieved for several different sets of growth conditions. The roles of nucleation kinetics, thermodynamics, and precursor chemistry are also discussed to compare the results to current nanowire growth models. The results in this work should facilitate comparison of data and transfer of knowledge between different growth systems and techniques, which, in turn, should lead to greater understanding of polytypism in nanowires and greater control and freedom in nanowire crystal phase engineering. (C) 2011 American Vacuum Society. [DOI: 10.1116/1.3593457]
  •  
13.
  • Espinoza, Shirly, et al. (författare)
  • Characterization of the high harmonics source for the VUV ellipsometer at ELI Beamlines
  • 2020
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Institute of Physics (AIP). - 2166-2746 .- 2166-2754. ; 38:2
  • Tidskriftsartikel (refereegranskat)abstract
    • In this paper, the authors present the characterization experiments of a 20 fs vacuum ultraviolet beam from a high harmonic generation source. The beam hits a silicon sample and passes a triple reflection gold polarizer located inside an ultrahigh vacuum chamber. The polarizer’s Malus curve was obtained; the total acquisition time for each point of the curve was 30 s. This aims to be the first vacuum ultraviolet time-resolved user station dedicated to ellipsometry. The high harmonic beam is generated by a 12 mJ, 1 kHz, 20 fs, in-house-developed laser and detected by a back-illuminated charge-coupled device. 
  •  
14.
  • Forchheimer, Daniel, et al. (författare)
  • Molecularly selective nanopatterns using nanoimprint lithography : A label-free sensor architecture
  • 2011
  • Ingår i: Journal of Vacuum Science and Technology B. - : AVS Science and Technology Society. - 2166-2746 .- 2166-2754. ; 29:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Nanoimprint lithography (NIL) can generate well defined nanostructures with high efficiency and at very low cost. Molecular imprinting (MIP) is a "bottom-up" technique creating a polymer layer exhibiting structures with a molecular selectivity. Such polymer structures may be employed as molecular recognition sites for sensing applications. In this work, the authors combine NIL with MIP and they are able to obtain micro- and nanopatterns of polymer with features down to 100 nm that show high molecular selectivity.
  •  
15.
  • Forssén, Clayton, et al. (författare)
  • Fabry-Perot-cavity-based refractometry without influence of mirror penetration depth
  • 2021
  • Ingår i: Journal of Vacuum Science and Technology B. - : AVS Science and Technology Society. - 2166-2746 .- 2166-2754. ; 39:6
  • Tidskriftsartikel (refereegranskat)abstract
    • Assessments of refractivity in a Fabry-Perot (FP) cavity by refractometry often encompass a step in which the penetration depth of the light into the mirrors is estimated to correct for the fraction of the cavity length into which no gas can penetrate. However, as it is currently carried out, this procedure is not always coherently performed. Here, we discuss a common pitfall that can be a reason for this and provide a recipe on how to perform FP-cavity-based refractometry without any influence of mirror penetration depth. © 2021 Author(s).
  •  
16.
  • Gottlob, H. D. B., et al. (författare)
  • Gd silicate : A high-k dielectric compatible with high temperature annealing
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567 .- 2166-2754 .- 2166-2746. ; 27:1, s. 249-252
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the investigation of amorphous Gd-based silicates as high-k dielectrics. Two different stacks of amorphous gadolinium oxide (Gd(2)O(3)) and silicon oxide (SiO(2)) on silicon substrates are compared after annealing at temperatures up to 1000 degrees C. Subsequently formed metal oxide semiconductor capacitors show a significant reduction in the capacitance equivalent thicknesses after annealing. Transmission electron microscopy, medium energy ion scattering, and x-ray diffraction analysis reveal distinct structural changes such as consumption of the SiO(2) layer and formation of amorphous Gd silicate. The controlled formation of Gd silicates in this work indicates a route toward high-k dielectrics compatible with conventional, gate first complementary metal-oxide semiconductor integration schemes.
  •  
17.
  • Graczyk, Mariusz, et al. (författare)
  • Optimization of a self-closing effect to produce nanochannels with top slits in fused silica
  • 2012
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 30:6
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the fabrication of subsurfaced 100-600 nm wide nanochannels in fused silica with top slit openings in the size range of 5-10 nm. Such nanochannels can be used in combination with a nanofluidics system to guide molecular motors and quickly switch the chemical environment inside the nanochannels through diffusion via the top slits. To realize nanochannel top slits in this size range, the authors here demonstrate the use of a self-closing effect based on the volume expansion of a thin Si layer during oxidation. A high contrast electron beam lithography exposure step in conjunction with dry etching of SiO2 by reactive ion etching (RIE) and Si by inductively coupled plasma-RIE followed by wet etching of a fused silica substrate is used to create the initial slit before oxidation. The details of nanochannel fabrication steps are described and discussed. (C) 2012 American Vacuum Society. [http://dx.doi.org/10.1116/1.4766317]
  •  
18.
  • Granqvist, Claes Göran (författare)
  • Oxide-based chromogenic coatings and devices for energy efficient fenestration : Brief survey and update on thermochromics and electrochromics
  • 2014
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 32:6, s. 060801-
  • Forskningsöversikt (refereegranskat)abstract
    • Modern buildings often have large windows and glass facades in order to achieve good day-lighting and desirable indoors-outdoors contact. These glazings are challenging with regard to the buildings' energy efficiency and frequently let in or out too much energy; this phenomenon has to be balanced by energy guzzling cooling or heating. In particular, the cooling requirement has grown strongly in recent years. Chromogenic glazings, based on thermochromism or electrochromism, are emerging technologies that can regulate the inflow of visible light and solar energy between widely separated limits and create better energy efficiency than is possible with static solutions. Thermochromic thin films-in practice based on vanadium dioxide-have a transmittance of infrared solar radiation that is smaller at high temperature than at low temperature. Electrochromic multilayer structures-often incorporating nanoporous thin films of tungsten oxide and nickel oxide-are able to vary the transmittance of visible light and solar energy when a low voltage is applied for a short time so as to shuttle charge between the two oxide films. Furthermore, and importantly, the new chromogenic fenestration technologies are able to improve indoor comfort. This brief tutorial review surveys the fields of oxide-based thermochromics and electrochromics with particular attention to recent advances.
  •  
19.
  • Gylfason, Kristinn B., 1978-, et al. (författare)
  • Process considerations for layer-by-layer 3D patterning of silicon, using ion implantation, silicon deposition, and selective silicon etching
  • 2012
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 30:6, s. 06FF05-
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors study suitable process parameters, and the resulting pattern formation, in additive layer-by-layer fabrication of arbitrarily shaped three-dimensional (3D) silicon (Si) micro- and nanostructures. The layer-by-layer fabrication process investigated is based on alternating steps of chemical vapor deposition of Si and local implantation of gallium ions by focused ion beam writing. In a final step, the defined 3D structures are formed by etching the Si in potassium hydroxide, where the ion implantation provides the etching selectivity.
  •  
20.
  • Hashemi, Seyed Ehsan, 1986, et al. (författare)
  • TiO2 membrane high-contrast grating reflectors for vertical-cavity light-emitters in the visible wavelength regime
  • 2015
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 33:5
  • Tidskriftsartikel (refereegranskat)abstract
    • © 2015 American Vacuum Society. In this work, the authors describe a novel route to achieve a high reflectivity, wide bandwidth feedback mirror for GaN-based vertical-cavity light emitters; using air-suspended high contrast gratings in TiO2, with SiO2 as a sacrificial layer. The TiO2 film deposition and the etching processes are developed to yield grating bars without bending, and with near-ideal rectangular cross-sections. Measured optical reflectivity spectra of the fabricated high contrast gratings show very good agreement with simulations, with a high reflectivity of >95% over a 25 nm wavelength span centered around 435 nm for the transverse-magnetic polarization.
  •  
21.
  • Jablonka, Lukas, et al. (författare)
  • Formation of nickel germanides from Ni layers with thickness below 10 nm
  • 2017
  • Ingår i: Journal of Vacuum Science & Technology B. - : A V S AMER INST PHYSICS. - 1071-1023 .- 1520-8567. ; 35:2
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors have studied the reaction between a Ge (100) substrate and thin layers of Ni ranging from 2 to 10 nm in thickness. The formation of metal-rich Ni5Ge3 was found to precede that of the monogermanide NiGe by means of real-time in situ x-ray diffraction during ramp-annealing and ex situ x-ray pole figure analyses for phase identification. The observed sequential growth of Ni5Ge3 and NiGe with such thin Ni layers is different from the previously reported simultaneous growth with thicker Ni layers. The phase transformation from Ni5Ge3 to NiGe was found to be nucleationcontrolled for Ni thicknesses < 5 nm, which is well supported by thermodynamic considerations. Specifically, the temperature for the NiGe formation increased with decreasing Ni (rather Ni5Ge3) thickness below 5 nm. In combination with sheet resistance measurement and microscopic surface inspection of samples annealed with a standard rapid thermal processing, the temperature range for achieving morphologically stable NiGe layers was identified for this standard annealing process. As expected, it was found to be strongly dependent on the initial Ni thickness.
  •  
22.
  • Jahed, Mehdi, 1988, et al. (författare)
  • Precise setting of micro-cavity resonance wavelength by dry etching
  • 2019
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2754 .- 2166-2746. ; 37:3
  • Tidskriftsartikel (refereegranskat)abstract
    • With an intracavity phase tuning technique, the authors demonstrate <2 nm precision in wavelength setting of vertical-cavity surface-emitting laser (VCSEL) microresonators in the wavelength range 1040-1070 nm using an Ar ion-beam etching process with subnanometer precision in etch depth. This postgrowth fabrication technique for controlling the wavelength of individual VCSELs is promising for the development of monolithic multiwavelength VCSEL arrays with precisely defined wavelengths for wavelength division multiplexed (WDM) optical interconnects and the assembly of compact and high-capacity WDM transmitters.
  •  
23.
  • Jonsson, Lars, et al. (författare)
  • Patterning of tantalum pentoxide, a high epsilon material, by inductively coupled plasma etching
  • 2000
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 18:4, s. 1906-1910
  • Tidskriftsartikel (refereegranskat)abstract
    • Integrated capacitors can easily cover a major part of the total chip area which may seriously affect the cost to produce the chip. By using a high epsilon material as the dielectric material, in the capacitor, the size can be reduced significantly. One very promising candidate is tantalum pentoxide (Ta2O5)" role="presentation" style="display: inline; line-height: normal; word-spacing: normal; word-wrap: normal; white-space: nowrap; float: none; direction: ltr; max-width: none; max-height: none; min-width: 0px; min-height: 0px; border: 0px; padding: 0px; margin: 0px; position: relative;">(Ta2O5)(Ta2O5)which has a dielectric constant of about 25. This should be compared to silicon nitride which has a dielectric constant of 8. In order to make integrated capacitors the tantalum pentoxide must be patterned. Results of a study on etching of tantalum pentoxide, silicon dioxide, and polysilicon with a high density plasma, using an inductively coupled plasma source, are presented and compared to results obtained by means of reactive ion etching. The gas used, CHF3," role="presentation" style="display: inline; line-height: normal; word-spacing: normal; word-wrap: normal; white-space: nowrap; float: none; direction: ltr; max-width: none; max-height: none; min-width: 0px; min-height: 0px; border: 0px; padding: 0px; margin: 0px; position: relative;">CHF3,CHF3, implies a polymerizing chemistry and the deposition of a fluorocarbon layer is shown to play an important role in the etch process. The fluorocarbon deposition onto the substrate surface is not only affected by the temperature of the substrate itself but also by the temperature of all surfaces that are exposed to the plasma. The process parameters with the strongest influence on the process have been found to be pressure and substrate bias voltage.
  •  
24.
  • Kallesoe, Christian, et al. (författare)
  • Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching
  • 2010
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 28:1, s. 21-26
  • Tidskriftsartikel (refereegranskat)abstract
    • Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections simultaneously on multiple nanowires. They investigate the potential of combining nanostencil deposition of catalyst, epitaxial III-V heterostructure nanowire growth, and selective etching, as a road toward wafer scale integration and engineering of nanowires with existing silicon technology. Nanostencil lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III-V native oxides. Depending on the etching conditions, a variety of gap topologies and tiplike structures are observed, offering postgrowth engineering of material composition and morphology.
  •  
25.
  • Kawasaki, Jason K., et al. (författare)
  • Cross-sectional scanning tunneling microscopy and spectroscopy of semimetallic ErAs nanostructures embedded in GaAs
  • 2011
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1520-8567 .- 1071-1023. ; 29:3, s. 03-104
  • Konferensbidrag (refereegranskat)abstract
    • The growth and atomic/electronic structure of molecular beam epitaxy-grown ErAs nanoparticles and nanorods embedded within a GaAs matrix are examined for the first time via cross-sectional scanning tunneling microscopy and spectroscopy. Cross sections enable the interrogation of the internal structure and are well suited for studying embedded nanostructures. The early stages of embedded ErAs nanostructure growth are examined via these techniques and compared with previous cross-sectional transmission electron microscopy work. Tunneling spectroscopy I(V) for both ErAs nanoparticles and nanorods was also performed, demonstrating that both nanostructures are semimetallic. (C) 2011 American Vacuum Society. [DOI: 10.1116/1.3547713]
  •  
26.
  • Kawasaki, Jason K., et al. (författare)
  • Epitaxial growth and surface studies of the Half Heusler compound NiTiSn (001)
  • 2013
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 31:4
  • Tidskriftsartikel (refereegranskat)abstract
    • The Half Heuslers are currently an attractive family of compounds for high temperature thermoelectrics research, and recently, there has been renewed interest since some of these compounds are proposed to be topological insulators. NiTiSn belongs to the family of 18 valence electron Half Heuslers that are predicted to be semiconducting, despite being composed entirely of metallic elements. The growth of the Half Heusler compound NiTiSn by molecular beam epitaxy is demonstrated. The NiTiSn films are epitaxial and single crystalline as observed by reflection high-energy electron diffraction and x-ray diffraction. Temperature dependent transport measurements suggest the films may be semiconducting, but with a high background carrier density indicative of a high density of electrically active defect states. Methods of protecting the sample surface for synchrotron-based photoemission measurements are explored. These methods may be applied to the study of surface electronic structure in unconventional materials. (C) 2013 American Vacuum Society.
  •  
27.
  • Li, Xun, et al. (författare)
  • Precursors for carbon doping of GaN in chemical vapor deposition
  • 2015
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 33:2, s. 021208-
  • Tidskriftsartikel (refereegranskat)abstract
    • Methane (CH4), ethylene (C2H4), acetylene (C2H2), propane (C3H8), iso-butane (i-C4H10), and trimethylamine [N(CH3)(3)] have been investigated as precursors for intentional carbon doping of (0001) GaN in chemical vapor deposition. The carbon precursors were studied by comparing the efficiency of carbon incorporation in GaN together with their influence on morphology and structural quality of carbon doped GaN. The unsaturated hydrocarbons C2H4 and C2H2 were found to be more suitable for carbon doping than the saturated ones, with higher carbon incorporation efficiency and a reduced effect on the quality of the GaN epitaxial layers. The results indicate that the C2H2 molecule as a direct precursor, or formed by the gas phase chemistry, is a key species for carbon doping without degrading the GaN quality; however, the CH3 species should be avoided in the carbon doping chemistry.
  •  
28.
  • Lu, Y., et al. (författare)
  • Leakage current effects on C-V plots of high-k metal-oxide-semiconductor capacitors
  • 2009
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567 .- 2166-2754 .- 2166-2746. ; 27:1, s. 352-355
  • Tidskriftsartikel (refereegranskat)abstract
    • With the employment of ultrathin, high dielectric constant gate materials in advanced semiconductor technology, the conventional capacitance-voltage measurement technique exhibits a series of anomalies. In particular, a nonsaturating increase in the accumulation capacitance with reducing measurement frequency is frequently observed, which has not been adequately explained to our knowledge. In this article, the authors provide an explanation for this anomaly and hence set a criterion for the lower bound on measurement frequency. We then present a model which allows the easy extraction of the required parameters and apply it to an experimental set of data.
  •  
29.
  • Luo, Jun, et al. (författare)
  • Interaction of NiSi with dopants for metallic source/drain applications
  • 2010
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 28:1, s. C1I1-C1I11
  • Tidskriftsartikel (refereegranskat)abstract
    • This work has a focus on NiSi as a possible metallic contact for aggressively scaled complementary metal oxide semiconductor devices. As the bulk work function of NiSi lies close to the middle of Si bandgap, the Schottky barrier height (SBH) of NiSi is rather large for both electron (similar to 0.65 eV) and hole (similar to 0.45 eV). Different approaches have therefore been intensively investigated in the literature aiming at reducing the effective SBH: dopant segregation (DS), surface passivation (SP), and alloying, in order to improve the carrier injection into the conduction channel of a field-effect transistor. The present work explores DS using B and As for the NiSi/Si contact system. The effects of C and N implantation into Si substrate prior to the NiSi formation are examined, and it is found that the presence of C yields positive effects in helping reduce the effective SBH to 0.1-0.2 eV for both conduction polarities. A combined use of DS or SP with alloying could be considered for more effective control of effective SBH, but an examination of undesired compound formation and its probable consequences is necessary. Furthermore, an analysis of the metal silicides that have a small "intrinsic" SBH reveals that only a very small number of them are of practical interest as most of the silicides require either a high formation temperature or possess a high specific resistivity.
  •  
30.
  • Malmros, Anna, 1977, et al. (författare)
  • TiN thin film resistors for monolithic microwave integrated circuits
  • 2010
  • Ingår i: Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 28:5, s. 912-915
  • Tidskriftsartikel (refereegranskat)abstract
    • Titanium nitride (TiN) thin film resistors (TFRs) have been fabricated by reactive sputter deposition. The TFRs were characterized in terms of composition, thickness, and resistance. Furthermore, a first assessment of the resistor reliability was made by measurements of the resistivity (rho) versus temperature, electrical stress, long-term stability, and thermal infrared measurements. TiN layers with thicknesses up to 3560 angstrom, corresponding to a sheet resistance (R-s) of 10 Omega/square, were successfully deposited without any signs of stress in the films. The critical dissipated power (P-c) showed a correlation with the resistor footprint-area indicating that Joule-heating was the main cause of failure. This was partly substantiated by the thermal infrared measurements.
  •  
31.
  • Mardani, Shabnam, 1983-, et al. (författare)
  • Electromigration behavior of Cu metallization interfacing with Ta versus TaN at high temperatures
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 34:6
  • Tidskriftsartikel (refereegranskat)abstract
    • High-temperature stability of Cu-based interconnects is of technological importance for electronic circuits based on wide band gap semiconductors. In this study, different metal stack combinations using Ta or TaN as capping- and/or barrier-layer, in the configuration cap/Cu/barrier, are evaluated electrically and morphologically prior to and after high-temperature treatments. The symmetric combinations Ta/Cu/Ta and TaN/Cu/TaN are characterized by a low and stable sheet resistance after annealing up to 700 °C. Asymmetric combinations of Ta/Cu/TaN and TaN/Cu/Ta, however, display an increase in sheet resistance values after annealing at 500 °C and above. This increase in sheet resistance is considered to result from Ta diffusion into the grain boundaries of the Cu film. The preliminary electromigration studies on the TaN/Cu/Ta and TaN/Cu/TaN structures show a twofold higher activation energy and a tenfold longer lifetime for the former, thus suggesting an important role of the interface between Cu and the cap and/or barrier.
  •  
32.
  • Mardani, Shabnam, et al. (författare)
  • High-temperature Ta diffusion in the grain boundary of thin Cu films
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 34
  • Tidskriftsartikel (refereegranskat)abstract
    • In order to ascertain the applicability of the technologically well-established Cu metallization in high-temperature circuits, the authors have investigated layered metal stacks having one Ta/Cu interface at temperatures from 400 to 700 degrees C. The authors have found that Ta releases from the Ta layer and moves through the Cu film to the opposite interface via the grain boundaries. In the simplest bilayer stack with Cu on top of Ta, the up-diffused Ta on the surface spreads out over the Cu grains so as to cover the Cu grains completely at 650 degrees C. The activation energy for the grain boundary diffusion is found to be 1.060.3 eV. The Ta diffusion in the grain boundaries leads to stabilization of the Cu grain size at 360 nm and an increase in sheet resistance of the metal stack. The latter is in fact observed for all metal stacks having Cu in contact with Ta on one side and TaN or nothing at all on the other. The implication is that the Cu metallization with one Ta/Cu interface has to be stabilized by a preanneal at the highest anticipated operating temperature before use.
  •  
33.
  •  
34.
  • Memisevic, Elvedin, et al. (författare)
  • Thin electron beam defined hydrogen silsesquioxane spacers for vertical nanowire transistors
  • 2014
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 32:5
  • Tidskriftsartikel (refereegranskat)abstract
    • A method to fabricate inorganic vertical spacer layers with well-controlled thickness down to 40 nm using electron beam exposure is demonstrated. These spacers are suitable in vertical nanowire transistor configuration. As spacer material, the authors use hydrogen silsesquioxane (HSQ), a material with low permittivity and high durability. They show that the resulting HSQ thickness can be controlled by electron dose used and it also depend on the initial thickness of the HSQ layer. To achieve good reproducibility, the authors found it necessary to fully submerge the nanowires beneath the HSQ layer initially and that the thickness of HSQ before exposure needs to be determined. Finally, they introduce these steps in an existing transistor process and demonstrate vertical nanowire transistors with high performance. (C) 2014 American Vacuum Society.
  •  
35.
  • Mendoza, Arturo, et al. (författare)
  • Mueller-matrix modeling of the architecture in the cuticle of the beetle Chrysina resplendens
  • 2019
  • Ingår i: Journal of Vacuum Science and Technology B. - : A V S AMER INST PHYSICS. - 2166-2746 .- 2166-2754. ; 37:6
  • Tidskriftsartikel (refereegranskat)abstract
    • Circular Bragg reflectors have the ability of reflecting the cohanded circular-polarization mode of the inherent helicoidal structures. Cuticles of some plants and beetles are examples of natural circular Bragg reflectors. In many cases, the period or pitch of the helicoidal structure shows spatial variation across the cuticle (pitch profile). Among scarab beetles, the special architecture in the cuticle of the Chrysina resplendens comprising a birefringent layer sandwiched between two helicoidal layers reflects both right- and left-handed circular-polarization states. In this work, the modeling of Mueller-matrix data is applied to investigate polarization properties of this exceptional structure by using pitch profile and optical functions reported in the literature. Reflectance spectra for circular-polarization states are explained in terms of the phase shift introduced by the birefringent layer in a phasor plot. The azimuth-dependent polarization properties are investigated at oblique incidence for unpolarized light. (c) 2019 Author(s). All article content, except where otherwise noted, is licensed under a Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).
  •  
36.
  • Mendoza, Arturo, et al. (författare)
  • Transmission mueller-matrix characterization of transparent ramie films
  • 2020
  • Ingår i: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 38:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Ramie is a plant whose fibers are used in fabrics. Ramie films are prepared by hot pressing and studied with transmission Mueller-matrix ellipsometry, which provides a complete description of polarizing and depolarizing sample properties. Symmetries of the Mueller matrices imply that the ramie films are linearly birefringent and act as waveplates. The linear birefringence is quantified by the differential decomposition of the Mueller matrices and the materials’ birefringence is found to be of the order of 0.05–0.08 with small dispersion in the visible spectral range. The films exhibit depolarization, which is quantified in terms of the depolarization index and varies from 0.9 in the infrared to 0.25 in the ultraviolet range. The deep understanding of ramie films’ polarization properties will pave the way for applications in optical and photonic devices.
  •  
37.
  • Mi, Wujun, 1986-, et al. (författare)
  • Efficient proximity effect correction method based on multivariate adaptive regression splines for grayscale e-beam lithography
  • 2014
  • Ingår i: Journal of Vacuum Science & Technology B. - United States : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 32:3
  • Tidskriftsartikel (refereegranskat)abstract
    • Grayscale electron beam lithography is an important technique to manufacture three-dimensional (3D) micro- and nano-structures, such as diffractive optical devices and Fresnel lenses. However, the proximity effect due to the scattering of electrons may cause significant error to the desired 3D structure. Conventional proximity correction methods depend on the exposure energy distribution which sometimes is difficult to obtain. In this study, the authors develop a novel proximity effect correction method based on multivariate adaptive regression splines, which takes exposure energy and development into consideration simultaneously. To evaluate the method, a Fresnel lens was fabricated through simulation and experiment. The measurements demonstrate the feasibility and validity of the method.
  •  
38.
  • Montero, Jose, et al. (författare)
  • Sputter deposition of thermochromic VO2 films on In2O3: Sn, SnO2, and glass : Structure and composition versus oxygen partial pressure
  • 2015
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 33:3, s. 1-7
  • Tidskriftsartikel (refereegranskat)abstract
    • Thermochromic thin films of VO2 were produced by reactive DC magnetron sputtering and were characterized by atomic force microscopy, scanning electron microscopy, x-ray diffraction, spectrophotometry, and resistance measurements. Depositions took place onto substrates of glass with and without layers of electrically conducting ITO (i.e., In2O3: Sn) and nonconducting SnO2. The substrate conditions were of large importance; thus, VO2 could be prepared on ITO within a significantly wider process window of oxygen partial pressure than for the other substrates and could yield highly granular deposits. VO2 films on ITO typically showed some lattice compression. Our results are valuable for the preparation and implementation of thermochromic glazings, which are of importance for energy efficient buildings.
  •  
39.
  • Muehlbacher, Marlene, et al. (författare)
  • TiN diffusion barrier failure by the formation of Cu3Si investigated by electron microscopy and atom probe tomography
  • 2016
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Institute of Physics (AIP). - 1071-1023 .- 1520-8567. ; 34:2, s. 022202-1-022202-8
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors investigate the interdiffusion damage of Cu/TiN stacks deposited on Si(001) substrates by low-temperature unbalanced direct current magnetron sputtering. Pristine and diffusion-annealed samples are examined by x-ray diffraction, four-point-probe resistivity measurements, scanning electron microscopy, energy-dispersive x-ray spectroscopy, and atom probe tomography. Two relevant diffusion processes are identified. The local diffusion of Cu through defects and grain boundaries in the TiN layer leads to the formation of the eta -Cu3Si phase at the barrier/substrate interface. Three-dimensional reconstructions obtained by atom probe tomography additionally reveal the outward diffusion of Si atoms from the substrate through the TiN bulk toward the Cu top layer, eventually also resulting in the formation of a discontinuous Cu3Si surface layer. (C) 2016 American Vacuum Society.
  •  
40.
  • Nilsson, Bengt, 1954 (författare)
  • Experimental evaluation method of point spread functions used for proximity effects correction in electron beam lithography
  • 2011
  • Ingår i: Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. - : American Vacuum Society. - 2166-2754 .- 2166-2746. ; 29:6
  • Tidskriftsartikel (refereegranskat)abstract
    • The accuracy of the proximity effect correction in electron beam lithography is very dependent on how well the point-spread function used in the correction matches the actual electron scattering effects. A fast and simple technique to evaluate and compare the medium and long-range accuracy of electron scattering point-spread functions is presented. The method is based on the evaluation of the thickness uniformity of partially developed resist inside the proximity corrected pattern by judging the interference color uniformity. It can be applied to almost any pattern design. As an example, three corrected exposures using point-spread functions for semi-insulating GaAs generated by commercial Monte Carlo simulation programs were experimentally evaluated.
  •  
41.
  • Otto, Ernst, 1971, et al. (författare)
  • Direct-write trilayer technology for Al-Al2O3-Cu superconductor-insulator-normal metal tunnel junction fabrication
  • 2007
  • Ingår i: Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. - : American Vacuum Society. - 2166-2754 .- 2166-2746 .- 1071-1023. ; 25:4, s. 1156-1160
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors present a novel direct-write trilayer technology for bolometer and thermometry applications. The technology is based on in situ evaporation of the superconductive electrode followed by the oxidation and the normal counterelectrode as a first step and deposition of normal-metal absorber as a second one. This approach allows one to realize any geometry of the tunnel junctions and of the absorber with no limitation related to. the size of the junctions or the absorber, which is not possible using shadow evaporation technique. The proposed new approach is perfectly suited for fabrication of microwave receivers for high-precision measurements in new generation of telescopes such as CLOVER ground-based telescope and OLIMPO balloon telescope projects. Measurements performed at 300 mK showed high quality of fabricated tunnel junctions, low leakage currents, and Rd/Rn ratio of 500 has been achieved at that temperature. The junctions were characterized as temperature sensors, and voltage versus temperature dependence measurements have shown a dV/dT of 0.5 mV/K for each single junction, which is typical for this kind of tunnel junctions. (c) 2007 American Vacuum Society.
  •  
42.
  • Park, Serang, et al. (författare)
  • Terahertz optical properties of polymethacrylates after thermal annealing
  • 2019
  • Ingår i: Journal of Vacuum Science and Technology B. - : A V S AMER INST PHYSICS. - 2166-2746 .- 2166-2754. ; 37:6
  • Tidskriftsartikel (refereegranskat)abstract
    • Polymer-based stereolithographic additive manufacturing has been established for the rapid and low-cost fabrication of terahertz (THz) optical components due to its ability to construct complex 3D geometries with high resolution. For polymer-based or integrated optics, thermal annealing processes are often used to optimize material properties. However, despite the growing interest in THz optics fabricated using stereolithography, the effects of thermal annealing on the THz dielectric properties of polymethacrylates compatible with stereolithography have not yet been studied. In this paper, the authors report on the THz ellipsometric response of thermally annealed polymethacrylates prepared using UV polymerization. The findings indicate that the investigated polymethacrylates maintain a stable optical response in the THz spectral range from 650 to 950 GHz after thermal annealing at temperatures up to 70 degrees C for several hours. Published by the AVS.
  •  
43.
  • Quinlan, Ronald A., et al. (författare)
  • Transfer of carbon nanosheet films to nongrowth, zero thermal budget substrates
  • 2011
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 29:3, s. 030602-
  • Tidskriftsartikel (refereegranskat)abstract
    • Carbon-based nanostructures and materials have become a popular subject of research due to their unique thermal, mechanical, electrical, and optical properties. For example, the strong C-C bonds of graphene-based systems allow for excellent thermal conduction at room temperature and the conjugation of the sp(2) lattice enables extremely high electron mobility. However, the use of carbon nanostructures as a component in polymer composites, sensors, mirco-electro-mechanical systems, and both rigid and flexible electronics has been limited by several factors, including the incompatibility with standard photolithography techniques, the high temperatures required for the nanostructure growth, and the presence of-or complication-of removing noncarbon species. Here, the authors report on a novel method for the transfer of carbon nanosheets to a low or zero thermal budget substrate while maintaining their original morphology and electrical properties. Four-point probe measurements' post-transfer shows the retention of in-plane conductivity and scanning electron microscopy reveals the preservation of the original vertical morphology. Raman spectroscopy measurements confirm the retention of the graphitic structure of the post-transfer nanosheet film. This new transfer technique builds on the ability to conformally coat nanosheets while maintaining the original ultrahigh surface area morphology and the ability to fully incorporate nanosheets into several polymers while maintaining the original nanostructure separation. For a demonstration of the usefulness of polymer filling, carbon nanosheets were used as an ultrahigh surface area electrode for the photoactive polymer poly[2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene] in proof of principle experiments of a nanosheet-based organic photovoltaic device.
  •  
44.
  • Reinspach, Julia, et al. (författare)
  • 13 nm high-efficiency nickel-germanium soft x-ray zone plates
  • 2011
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 29:1, s. 011012-
  • Tidskriftsartikel (refereegranskat)abstract
    • Zone plates are used as objectives for high-resolution x-ray microscopy. Both high resolution and high diffraction efficiency are crucial parameters for the performance of the lens. In this article, the authors demonstrate the fabrication of high-resolution soft x-ray zone plates with improved diffraction efficiency by combining a nanofabrication process for high resolution with a process for high diffraction efficiency. High-resolution Ni zone plates are fabricated by applying cold development of electron-beam-patterned ZEP 7000 in a trilayer-resist process combined with Ni-electroplating. High-diffraction-efficiency Ni-Ge zone plates are realized by fabricating the Ni zone plate on a Ge film and then using the finished zone plate as etch mask for anisotropic CHF3 reactive ion etching into the underlying Ge, resulting in a Ni-Ge zone plate with improved aspect ratio and zone plate efficiency. Ni-Ge zone plates with 13 nm outermost zone width composed of 35 nm Ni on top of 45 nm Ge were fabricated. For comparable Ni and Ni-Ge zone plates with an outermost zone width of 15 nm, the diffraction efficiency was measured to be 2.4% and 4.3%, respectively, i.e., an enhancement of a factor of 2.
  •  
45.
  • Reinspach, Julia, et al. (författare)
  • Twelve nanometer half-pitch W–Cr–HSQ trilayer process for soft x-ray tungsten zone plates
  • 2011
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 29:6, s. 06FG02-1-06FG02-4
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors describe a new W–Cr–HSQ trilayer nanofabrication process for high-resolution and high-diffraction-efficiency soft x-ray W zone-plate lenses. High-resolution HSQ gratings were first fabricated by electron-beam lithography and high-contrast development in a NaCl/NaOH solution. The HSQ pattern was then transferred to the Cr layer by RIE with Cl2/O2, and subsequently to the W layer by cryogenic RIE with SF6/O2. The anisotropy of the W etch as a function of substrate temperature was investigated, and the best etch profile was achieved at −50 °C. Using this optimized process, W gratings with half-pitches down to 12 nm and a height of 90 nm were fabricated. For a zone plate with corresponding parameters, this would result in a theoretical diffraction efficiency of 9.6% (at λ = 2.48 nm), twice as high as has been reported previously.
  •  
46.
  • Sekora, Derek, et al. (författare)
  • Structural and optical properties of alumina passivated amorphous Si slanted columnar thin films during electrochemical Li-ion intercalation and deintercalation observed by in situ generalized spectroscopic ellipsometry
  • 2017
  • Ingår i: Journal of Vacuum Science & Technology B. - : A V S AMER INST PHYSICS. - 1071-1023 .- 1520-8567. ; 35:3
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors report on the structural and optical property changes of alumina passivated amorphous Si slanted columnar thin films during electrochemical Li-ion intercalation and deintercalation determined by in situ generalized spectroscopic ellipsometry. The cyclic voltammetry investigations versus Li/Li+ are performed at a rate of 1mV/s, while Mueller matrix generalized spectroscopic ellipsometry data are collected. Through a best-match model analysis utilizing the homogeneous biaxial layer approach, temporal anisotropic optical constants are obtained. The authors observe a strong anisotropic electrochromic response with maximum changes of similar to 18% in the anisotropic refractive indices and similar to 750% in the anisotropic extinction coefficients. Furthermore, the thin films reversibly expand and contract by similar to 35%. A comparative analysis of the temporal optical constant response to the changes in overall optical anisotropy of the electrode reveals six transient regions throughout the Li-ion intercalation and deintercalation cycle of the highly ordered three-dimensional nanostructures. The transients correspond to electrochemical potential regions which show limited charge transfer, metalization or demetalization, and swelling or deswelling of the nanostructures. Furthermore, the electrochemical potential regions in which the transients are observed here are very similar to those previously reported for Li-ion intercalation and deintercalation of silicon nanowires using structural analysis techniques, where four distinct phases of Li-Si alloy formation were revealed. The authors find that at low Li contributions, swelling and deswelling occur preferentially along the slanted columns, while at high Li contributions, swelling and deswelling occur preferentially within the intercolumnar space. (C) 2017 American Vacuum Society.
  •  
47.
  • Shahid, Naeem, et al. (författare)
  • Novel postetch process to realize high quality photonic crystals in InP
  • 2011
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 29:3, s. 031202-
  • Tidskriftsartikel (refereegranskat)abstract
    • Thermally driven reflow of material during annealing was positively used to obtain near-vertical sidewall profiles for high-aspect-ratio nanostructures in InP fabricated by dry etching. This is very promising for achieving high optical quality in photonic crystal (PhC) components. Nearly cylindrical profiles were obtained for high-aspect-ratio PhC holes with diameters as small as 200350 nm. Mini stop bands (MSBs) in line-defect PhC waveguides were experimentally investigated for both as-etched and reshaped hole geometries, and their spectral characteristics were used to assess the quality of PhC fabrication. The spectral characteristics of the MSB in PhC waveguides with reshaped holes showed significant improvement in performance with a transmission dip as deep as 35 dB with sharp edges dropping in intensity more than 30 dB for similar to 4 nm of wavelength change. These results show potential for using high extinction drop-filters in InP-based monolithic photonic integrated circuit applications. Finally, it is proposed that other nanostructure geometries may also benefit from this reshaping process.
  •  
48.
  • Silander, I., et al. (författare)
  • An Invar-based dual Fabry-Perot cavity refractometer for assessment of pressure with a pressure independent uncertainty in the sub-mPa region
  • 2023
  • Ingår i: Journal of Vacuum Science and Technology B. - : AVS Science and Technology Society. - 2166-2746 .- 2166-2754. ; 41:6
  • Tidskriftsartikel (refereegranskat)abstract
    • An updated version of an Invar-based dual Fabry-Perot cavity refractometer utilizing the gas modulation methodology has been characterized with regard to its ability to assess gas pressure in the low pressure regime, defined as the regime in which the instrumentation is mainly limited by the constant term a in the [ ( a ) 2 + ( b × P ) 2 ] 1 / 2 expression for the uncertainty. It is first concluded that this ability is predominantly limited by three entities, viz., the empty cavity repeatability, the residual gas pressures in the evacuated (measurement) cavity, and the contamination of the gas residing in the measurement cavity that originates from leaks and outgassing. We then present and utilize methods to separately estimate the uncertainty of the updated refractometer from these entities. It was found that, when utilizing gas modulation cycles of 100 s and when addressing nitrogen, the system can assess pressure in the low pressure regime with an expanded uncertainty ( k = 2 ) of 0.75 mPa, mainly limited by the empty cavity repeatability and outgassing of hydrogen. This is more than 1 order of magnitude below the previously assessed low pressure performance of the instrumentation.
  •  
49.
  • Silander, Isak, 1980-, et al. (författare)
  • Gas equilibration gas modulation refractometry for assessment of pressure with sub-ppm precision
  • 2019
  • Ingår i: Journal of Vacuum Science and Technology B. - : AVS Science and Technology Society. - 2166-2746 .- 2166-2754. ; 37:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Gas modulation refractometry (GAMOR) is a methodology that, by performing repeated reference assessments with the measurement cavity being evacuated while the reference cavity is held at a constant pressure, can mitigate drifts in dual Fabry-Perot cavity based refractometry. A novel realization of GAMOR, referred to as gas equilibration GAMOR, that outperforms the original realization of GAMOR, here referred to as single cavity modulated GAMOR (SCM-GAMOR), is presented. In this, the reference measurements are carried out by equalizing the pressures in the two cavities, whereby the time it takes to reach adequate conditions for the reference measurements has been reduced. This implies that a larger fraction of the measurement cycle can be devoted to data acquisition, which reduces white noise and improves on its short-term characteristics. The presented realization also encompasses a new cavity design with improved temperature stabilization and assessment. This has contributed to improved long-term characteristics of the GAMOR methodology. The system was characterized with respect to a dead weight pressure balance. It was found that the system shows a significantly improved precision with respect to SCM-GAMOR for all integration times. For a pressure of 4303 Pa, it can provide a response for short integration times (up to 10 min) of 1.5 mPa (cycle)1/2, while for longer integration times (up to 18 h), it shows an integration time-independent Allan deviation of 1 mPa (corresponding to a precision, defined as twice the Allan deviation, of 0.5 ppm), exceeding the original SCM-GAMOR system by a factor of 2 and 8, respectively. When used for low pressures, it can provide a precision in the sub-mPa region; for the case with an evacuated measurement cavity, the system provided, for up to 40 measurement cycles (ca. 1.5 h), a white noise of 0.7 mPa (cycle)1/2, and a minimum Allan deviation of 0.15 mPa. It shows a purely linear response in the 2.8-10.1 kPa range. This implies that the system can be used for the transfer of calibration over large pressure ranges with exceptional low uncertainty. © 2019 Author(s).
  •  
50.
  • Silander, Isak, 1980-, et al. (författare)
  • Optical realization of the pascal—Characterization of two gas modulated refractometers
  • 2021
  • Ingår i: Journal of Vacuum Science and Technology B. - : AVS Science and Technology Society. - 2166-2746 .- 2166-2754. ; 39:4
  • Tidskriftsartikel (refereegranskat)abstract
    • By measuring the refractivity and the temperature of a gas, its pressure can be calculated from fundamental principles. The most sensitive instruments are currently based on Fabry-Perot cavities where a laser is used to probe the frequency of a cavity mode. However, for best accuracy, the realization of such systems requires exceptional mechanical stability. Gas modulation refractometry (GAMOR) has previously demonstrated an impressive ability to mitigate the influence of fluctuations and drifts whereby it can provide high-precision (sub-ppm, i.e., sub-parts-per-million or sub-10−6) assessment of gas refractivity and pressure. In this work, two independent GAMOR-based refractometers are individually characterized, compared to each other, and finally compared to a calibrated dead weight piston gauge with respect to their abilities to assess pressure in the 4-25 kPa range. The first system, referred to as the stationary optical pascal (SOP), uses a miniature fixed point gallium cell to measure the temperature. The second system, denoted the transportable optical pascal (TOP), relies on calibrated Pt-100 sensors. The expanded uncertainty for assessment of pressure (k=2) was estimated to, for the SOP and TOP, [(10mPa)2+(10×10−6P)2]1/2 and [(16mPa)2+(28×10−6P)2]1/2, respectively. While the uncertainty of the SOP is mainly limited by the uncertainty in the molar polarizability of nitrogen (8 ppm), the uncertainty of the TOP is dominated by the temperature assessment (26 ppm). To verify the long-term stability, the systems were compared to each other over a period of 5 months. It was found that all measurements fell within the estimated expanded uncertainty (k=2) for comparative measurements (27 ppm). This verified that the estimated error budget for the uncorrelated errors holds over this extensive period of time. © 2021 Author(s).
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-50 av 59
Typ av publikation
tidskriftsartikel (57)
konferensbidrag (1)
forskningsöversikt (1)
Typ av innehåll
refereegranskat (59)
Författare/redaktör
Zhang, Shi-Li (5)
Wernersson, Lars-Eri ... (3)
Järrendahl, Kenneth (3)
Smith, Ulf (3)
Holmberg, Anders (3)
Janzén, Erik (2)
visa fler...
Maximov, Ivan (2)
Östling, Mikael (2)
Primetzhofer, Daniel (2)
Lemme, Max C., 1970- (2)
Montelius, Lars (2)
Jansson, Ulf (2)
Nyberg, Tomas (2)
Werner, M. (1)
Gylfason, Kristinn B ... (1)
Delsing, Per, 1959 (1)
Lundgren, Edvin (1)
Lu, Jun (1)
Hultman, Lars (1)
Graczyk, Mariusz (1)
Lu, Y (1)
Radamson, Henry H. (1)
Abedin, Ahmad (1)
Hellström, Per-Erik, ... (1)
Hallén, Anders. (1)
Samuelson, Lars (1)
Olsson, Jörgen (1)
Johansson, Jonas (1)
Hedlund, Christer, 1 ... (1)
Stake, Jan, 1971 (1)
Abuwasib, Mohammad, ... (1)
Krantz, Philip, 1984 (1)
Shleev, Sergey (1)
Schubert, Mathias (1)
CARLSSON, STEFAN, 19 ... (1)
Nilsson, Daniel (1)
Wang, Shu Min, 1963 (1)
Bengtsson, Jörgen, 1 ... (1)
Larsson, Anders, 195 ... (1)
Haglund, Åsa, 1976 (1)
Nilsson, Bengt, 1954 (1)
Borgström, Magnus (1)
Prinz, Christelle (1)
Lehmann, Sebastian (1)
Schouenborg, Jens (1)
Kuzmin, Leonid, 1946 (1)
Tarasov, Mikhail, 19 ... (1)
Ahlberg, Patrik (1)
Zhang, Zhi-Bin (1)
Jonsson, Lars (1)
visa färre...
Lärosäte
Kungliga Tekniska Högskolan (16)
Chalmers tekniska högskola (12)
Linköpings universitet (11)
Lunds universitet (11)
Uppsala universitet (9)
RISE (5)
visa fler...
Umeå universitet (4)
Malmö universitet (1)
Mittuniversitetet (1)
Karlstads universitet (1)
visa färre...
Språk
Engelska (59)
Forskningsämne (UKÄ/SCB)
Teknik (34)
Naturvetenskap (32)
Medicin och hälsovetenskap (1)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy