SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Fischer Andreas C. 1982 ) "

Sökning: WFRF:(Fischer Andreas C. 1982 )

  • Resultat 1-44 av 44
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  •  
2.
  •  
3.
  •  
4.
  • Kehoe, Laura, et al. (författare)
  • Make EU trade with Brazil sustainable
  • 2019
  • Ingår i: Science. - : American Association for the Advancement of Science (AAAS). - 0036-8075 .- 1095-9203. ; 364:6438, s. 341-
  • Tidskriftsartikel (övrigt vetenskapligt/konstnärligt)
  •  
5.
  • Fan, Xuge, et al. (författare)
  • Graphene ribbons with suspended masses as transducers in ultra-small nanoelectromechanical accelerometers
  • 2019
  • Ingår i: Nature Electronics. - : Nature Publishing Group. - 2520-1131. ; 2:9, s. 394-404
  • Tidskriftsartikel (refereegranskat)abstract
    • Nanoelectromechanical system (NEMS) sensors and actuators could be of use in the development of next-generation mobile, wearable and implantable devices. However, these NEMS devices require transducers that are ultra-small, sensitive and can be fabricated at low cost. Here, we show that suspended double-layer graphene ribbons with attached silicon proof masses can be used as combined spring–mass and piezoresistive transducers. The transducers, which are created using processes that are compatible with large-scale semiconductor manufacturing technologies, can yield NEMS accelerometers that occupy at least two orders of magnitude smaller die area than conventional state-of-the-art silicon accelerometers. With our devices, we also extract the Young’s modulus values of double-layer graphene and show that the graphene ribbons have significant built-in stresses.
  •  
6.
  • Fan, Xuge, et al. (författare)
  • Manufacture and characterization of graphene membranes with suspended silicon proof masses for MEMS and NEMS applications
  • 2020
  • Ingår i: MICROSYSTEMS & NANOENGINEERING. - : NATURE PUBLISHING GROUP. - 2055-7434. ; 6:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Graphene's unparalleled strength, chemical stability, ultimate surface-to-volume ratio and excellent electronic properties make it an ideal candidate as a material for membranes in micro- and nanoelectromechanical systems (MEMS and NEMS). However, the integration of graphene into MEMS or NEMS devices and suspended structures such as proof masses on graphene membranes raises several technological challenges, including collapse and rupture of the graphene. We have developed a robust route for realizing membranes made of double-layer CVD graphene and suspending large silicon proof masses on membranes with high yields. We have demonstrated the manufacture of square graphene membranes with side lengths from 7 mu m to 110 mu m, and suspended proof masses consisting of solid silicon cubes that are from 5 mu mx5 mu mx16.4 mu m to 100 mu mx100 mu mx16.4 mu m in size. Our approach is compatible with wafer-scale MEMS and semiconductor manufacturing technologies, and the manufacturing yields of the graphene membranes with suspended proof masses were >90%, with >70% of the graphene membranes having >90% graphene area without visible defects. The measured resonance frequencies of the realized structures ranged from tens to hundreds of kHz, with quality factors ranging from 63 to 148. The graphene membranes with suspended proof masses were extremely robust, and were able to withstand indentation forces from an atomic force microscope (AFM) tip of up to 7000nN. The proposed approach for the reliable and large-scale manufacture of graphene membranes with suspended proof masses will enable the development and study of innovative NEMS devices with new functionalities and improved performances.
  •  
7.
  •  
8.
  • Smith, Anderson D., et al. (författare)
  • Graphene-based CO2 sensing and its cross-sensitivity with humidity
  • 2017
  • Ingår i: RSC Advances. - : Royal Society of Chemistry. - 2046-2069. ; 7:36, s. 22329-22339
  • Tidskriftsartikel (refereegranskat)abstract
    • We present graphene-based CO2 sensing and analyze its cross-sensitivity with humidity. In order to assess the selectivity of graphene-based gas sensing to various gases, measurements are performed in argon (Ar), nitrogen (N2), oxygen (O2), carbon dioxide (CO2), and air by selectively venting the desired gas from compressed gas bottles into an evacuated vacuum chamber. The sensors provide a direct electrical readout in response to changes in high concentrations, from these bottles, of CO2, O2, nitrogen and argon, as well as changes in humidity from venting atmospheric air. From the signal response to each gas species, the relative graphene sensitivity to each gas is extracted as a relationship between the percentage-change in graphene's resistance response to changes in vacuum chamber pressure. Although there is virtually no response from O2, N2 and Ar, there is a sizeable cross-sensitivity between CO2 and humidity occurring at high CO2 concentrations. However, under atmospheric concentrations of CO2, this cross-sensitivity effect is negligible – allowing for the use of graphene-based humidity sensing in atmospheric environments. Finally, charge density difference calculations, computed using density functional theory (DFT) are presented in order to illustrate the bonding of CO2 and water molecules on graphene and the alterations of the graphene electronic structure due to the interactions with the substrate and the molecules.
  •  
9.
  •  
10.
  • Antelius, Mikael, et al. (författare)
  • Wafer-Level Vacuum Sealing by Coining of Wire Bonded Gold Bumps
  • 2013
  • Ingår i: Journal of microelectromechanical systems. - 1057-7157 .- 1941-0158. ; 22:6, s. 1347-1353
  • Tidskriftsartikel (refereegranskat)abstract
    • This paper reports on the investigation of a novel room-temperature vacuum sealing method based on compressing wire bonded gold bumps which are placed to partially overlap the access ports into the cavity. The bump compression, which is done under vacuum, causes a material flow into the access ports, thereby hermetically sealing a vacuum inside the cavities. The sealed cavity pressure was measured by residual gas analysis to 8x10(-4) mbar two weeks after sealing. The residual gas content was found to be mainly argon, which indicates the source as outgassing inside the cavity and no measurable external leak. The seals are found to be mechanically robust and easily implemented by the use of standard commercial tools and processes.
  •  
11.
  • Ellis, W. Chadwick, et al. (författare)
  • Bis phenylene flattened 13-membered tetraamide macrocyclic ligand (TAML) for square planar cobalt(III)
  • 2018
  • Ingår i: Journal of coordination chemistry (Print). - : TAYLOR & FRANCIS LTD. - 0095-8972 .- 1029-0389. ; 71:11-13, s. 1822-1836
  • Tidskriftsartikel (refereegranskat)abstract
    • The preparation, characterization, and evaluation of a cobalt(III) complex [CO{(OC)2(o,o'-NC6H4NCO)2CMe2}(OH2)]- with 13-membered tetraamide macrocyclic ligand (TAML) is described. This is a square-planar (X-ray) S=1 paramagnetic (H-1 NMR) compound, which becomes an S=0 diamagnetic octahedral species in excess d(5)-pyridine. Its one-electron oxidation at an electrode is fully reversible with the lowest E-1/2 value (0.66V vs SCE) among all investigated Co-III TAML complexes. The oxidation results in a neutral blue species which is consistent with a Co-III/radical-cation ligand. The ease of oxidation is likely due to the two benzene rings incorporated in the ligand structure (whereas there is just one in many other Co-III TAMLs). The oxidized neutral species are unexpectedly EPR silent, presumably due to the -stacking aggregation. However, they display eight-line hyperfine patterns in the presence of excess of 4-tert-butylpyridine or 4-tert-butyl isonitrile. The EPR spectra are more consistent with the Co-III/radical-cation ligand formulation rather than with a Co-IV complex. Attempts to synthesize a similar vanadium complex under the same conditions as for cobalt using [(VO)-O-V(OCHMe2)(3)] were not successful. TAML-free decavanadate was isolated instead. [GRAPHICS] .
  •  
12.
  • Ericsson, Per, et al. (författare)
  • Toward 17µm pitch heterogeneously integrated Si/SiGe quantum well bolometer focal plane arrays
  • 2011
  • Ingår i: Infrared Technology and Applications XXXVII. - : SPIE - International Society for Optical Engineering. ; , s. 801216-1-801216-9
  • Konferensbidrag (refereegranskat)abstract
    • Most of today's commercial solutions for un-cooled IR imaging sensors are based on resistive bolometers using either Vanadium oxide (VOx) or amorphous Silicon (a-Si) as the thermistor material. Despite the long history for both concepts, market penetration outside high-end applications is still limited. By allowing actors in adjacent fields, such as those from the MEMS industry, to enter the market, this situation could change. This requires, however, that technologies fitting their tools and processes are developed. Heterogeneous integration of Si/SiGe quantum well bolometers on standard CMOS read out circuits is one approach that could easily be adopted by the MEMS industry. Due to its mono crystalline nature, the Si/SiGe thermistor material has excellent noise properties that result in a state-ofthe- art signal-to-noise ratio. The material is also stable at temperatures well above 450°C which offers great flexibility for both sensor integration and novel vacuum packaging concepts. We have previously reported on heterogeneous integration of Si/SiGe quantum well bolometers with pitches of 40μm x 40μm and 25μm x 25μm. The technology scales well to smaller pixel pitches and in this paper, we will report on our work on developing heterogeneous integration for Si/SiGe QW bolometers with a pixel pitch of 17μm x 17μm.
  •  
13.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • 3D Free-Form Patterning of Silicon by Ion Implantation, Silicon Deposition, and Selective Silicon Etching
  • 2012
  • Ingår i: Advanced Functional Materials. - : Wiley-VCH Verlagsgesellschaft. - 1616-301X .- 1616-3028. ; 22:19, s. 4004-4008
  • Tidskriftsartikel (refereegranskat)abstract
    • A method for additive layer-by-layer fabrication of arbitrarily shaped 3D silicon micro- and nanostructures is reported. The fabrication is based on alternating steps of chemical vapor deposition of silicon and local implantation of gallium ions by focused ion beam (FIB) writing. In a final step, the defined 3D structures are formed by etching the silicon in potassium hydroxide (KOH), in which the local ion implantation provides the etching selectivity. The method is demonstrated by fabricating 3D structures made of two and three silicon layers, including suspended beams that are 40 nm thick, 500 nm wide, and 4 μm long, and patterned lines that are 33 nm wide.
  •  
14.
  •  
15.
  •  
16.
  •  
17.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Free form printing of silicon micro- and nanostructures
  • 2010
  • Patent (populärvet., debatt m.m.)abstract
    • The invention relates to a method of making a three-dimensional structure in semiconductor material. A substrate (20) is provided having at least a surface comprising semiconductor material. Selected areas of the surface of the substrate are to a focused ion beam whereby the ions are implanted in the semiconductor material in said selected areas. Several layers of a material selected from the group consisting of mono-crystalline, poly-crystalline or amorphous semiconductor material, are deposited on the substrate surface and between depositions focused ion beam is used to expose the surface so as to define a three-dimensional structure. Material not part of the final structure (30) defined by the focused ion beam is etched away so as to provide a three-dimensional structure on said substrate (20).
  •  
18.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Heterogeneous Integration for Optical MEMS
  • 2010
  • Ingår i: 2010 23RD ANNUAL MEETING OF THE IEEE PHOTONICS SOCIETY. - NEW YORK : IEEE. - 9781424453696 ; , s. 487-488
  • Konferensbidrag (refereegranskat)abstract
    • In this paper we present different large-scale heterogeneous integration technologies for optical MEMS that enable the integration of optical MEMS with standard CMOS-based ICs. Examples that are presented include various monocrystalline silicon micro-mirror arrays and infrared bolometer arrays.
  •  
19.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • high aspect ratio tsvs fabricated by magnetic self-assembly of gold-coated nickel wires
  • 2012
  • Ingår i: Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd. - : IEEE conference proceedings. - 9781467319652 ; , s. 541-547
  • Konferensbidrag (refereegranskat)abstract
    • Three-dimensional (3D) integration is an emerging technologythat vertically interconnects stacked dies of electronics and/orMEMS-based transducers using through silicon vias (TSVs).TSVs enable the realization of devices with shorter signal lengths,smaller packages and lower parasitic capacitances, which can resultin higher performance and lower costs of the system. Inthis paper we demonstrate a new manufacturing technology forhigh-aspect ratio (> 8) through silicon metal vias using magneticself-assembly of gold-coated nickel rods inside etched throughsilicon-via holes. The presented TSV fabrication technique enablesthrough-wafer vias with high aspect ratios and superior electricalcharacteristics. This technique eliminates common issues inTSV fabrication using conventional approaches, such as the metaldeposition and via insulation and hence it has the potential to reducesignificantly the production costs of high-aspect ratio stateof-the-art TSVs for e.g. interposer, MEMS and RF applications.
  •  
20.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Inkjet Printing, Laser-Based Micromachining and Micro 3D Printing Technologies for MEMS
  • 2015
  • Ingår i: Handbook of Silicon Based MEMS Materials and Technologies: Second Edition. - : Elsevier Inc.. - 9780323312233 - 9780323299657 ; , s. 550-564
  • Bokkapitel (övrigt vetenskapligt/konstnärligt)abstract
    • A number of unconventional micro-fabrication technologies are emerging that are suitable for micromachining of MEMS devices. These micromachining approaches typically are sequential processes in which devices on a substrate are formed one at a time, as opposed to conventional parallel and high-throughput semiconductor manufacturing processes. Nevertheless, many of the serial micromachining processes, including inkjet printing technologies and laser-based processes can be highly efficient and cost competitive, especially for low and medium sized manufacturing volumes as well as for prototyping purposes. The technologies presented in this chapter can be categorized as additive micromachining approaches (e.g., inkjet printing) and subtractive micromachining approaches (e.g., laser ablation). This chapter discusses the more mature technologies that are already being developed in a commercial context and a number of new and emerging micromachining approaches that are still in the early research and development stage. 
  •  
21.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Inkjet printing, laser-based micromachining, and micro-3D printing technologies for MEMS
  • 2020
  • Ingår i: Handbook of Silicon Based MEMS Materials and Technologies. - : Elsevier BV. ; , s. 531-545
  • Bokkapitel (övrigt vetenskapligt/konstnärligt)abstract
    • A number of unconventional micromachining technologies are emerging that are of potential interest for microelectromechanical systems (MEMS) manufacturing. Such micromachining processes include sequential processes in which devices on a substrate are formed one at a time, which is in contrast to conventional parallel semiconductor manufacturing processes. Nevertheless, many of the serial micromachining processes, including inkjet printing technologies and laser-based processes can be highly efficient and cost competitive, especially for low- and medium-sized manufacturing volumes as well as for prototyping purposes. The technologies presented in this chapter can be categorized as additive micromachining approaches (e.g., inkjet printing) and subtractive micromachining approaches (e.g., laser ablation). This chapter discusses the more mature technologies that are already being developed in a commercial context and a number of new and emerging micromachining approaches that are still in the early research and development stage. 
  •  
22.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Integrating MEMS and ICs
  • 2015
  • Ingår i: Microsystems & Nanoengineering. - : Springer Science and Business Media LLC. - 2055-7434. ; 1:1, s. 1-16
  • Recension (refereegranskat)abstract
    • The majority of microelectromechanical system (MEMS) devices must be combined with integrated circuits (ICs) for operation in larger electronic systems. While MEMS transducers sense or control physical, optical or chemical quantities, ICs typically provide functionalities related to the signals of these transducers, such as analog-to-digital conversion, amplification, filtering and information processing as well as communication between the MEMS transducer and the outside world. Thus, the vast majority of commercial MEMS products, such as accelerometers, gyroscopes and micro-mirror arrays, are integrated and packaged together with ICs. There are a variety of possible methods of integrating and packaging MEMS and IC components, and the technology of choice strongly depends on the device, the field of application and the commercial requirements. In this review paper, traditional as well as innovative and emerging approaches to MEMS and IC integration are reviewed. These include approaches based on the hybrid integration of multiple chips (multi-chip solutions) as well as system-on-chip solutions based on wafer-level monolithic integration and heterogeneous integration techniques. These are important technological building blocks for the ‘More-Than-Moore’ paradigm described in the International Technology Roadmap for Semiconductors. In this paper, the various approaches are categorized in a coherent manner, their merits are discussed, and suitable application areas and implementations are critically investigated. The implications of the different MEMS and IC integration approaches for packaging, testing and final system costs are reviewed.
  •  
23.
  • Fischer, Andreas C., 1982- (författare)
  • Integration and Fabrication Techniques for 3D Micro- and Nanodevices
  • 2012
  • Doktorsavhandling (övrigt vetenskapligt/konstnärligt)abstract
    • The development of micro and nano-electromechanical systems (MEMS and NEMS) with entirely new or improved functionalities is typically based on novel or improved designs, materials and fabrication methods. However, today’s micro- and nano-fabrication is restrained by manufacturing paradigms that have been established by the integrated circuit (IC) industry over the past few decades. The exclusive use of IC manufacturing technologies leads to limited material choices, limited design flexibility and consequently to sub-optimal MEMS and NEMS devices. The work presented in this thesis breaks new ground with a multitude of novel approaches for the integration of non-standard materials that enable the fabrication of 3D micro and nanoelectromechanical systems. The objective of this thesis is to highlight methods that make use of non-standard materials with superior characteristics or methods that use standard materials and fabrication techniques in a novel context. The overall goal is to propose suitable and cost-efficient fabrication and integration methods, which can easily be made available to the industry.The first part of the thesis deals with the integration of bulk wire materials. A novel approach for the integration of at least partly ferromagnetic bulk wire materials has been implemented for the fabrication of high aspect ratio through silicon vias. Standard wire bonding technology, a very mature back-end technology, has been adapted for yet another through silicon via fabrication method and applications including liquid and vacuum packaging as well as microactuators based on shape memory alloy wires. As this thesis reveals, wire bonding, as a versatile and highly efficient technology, can be utilized for applications far beyond traditional interconnections in electronics packaging.The second part presents two approaches for the 3D heterogeneous integration based on layer transfer. Highly efficient monocrystalline silicon/ germanium is integrated on wafer-level for the fabrication of uncooled thermal image sensors and monolayer-graphene is integrated on chip-level for the use in diaphragm-based pressure sensors.The last part introduces a novel additive fabrication method for layer-bylayer printing of 3D silicon micro- and nano-structures. This method combines existing technologies, including focused ion beam implantation and chemical vapor deposition of silicon, in order to establish a high-resolution fabrication process that is related to popular 3D printing techniques.
  •  
24.
  •  
25.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Low-Cost Through Silicon Vias (Tsvs) With Wire-Bonded Metal Cores And Low Capacitive Substrate-Coupling
  • 2010
  • Ingår i: MEMS 2010. - : IEEE. - 9781424457649 ; , s. 480-483
  • Konferensbidrag (refereegranskat)abstract
    • The three-dimensional (3D) integration of electronics and/or MEMS-based transducers is an emerging technology that vertically interconnects stacked dies using through silicon vias (TSVs). They enable the realization of devices with shorter signal lengths, smaller packages and lower parasitic capacitances, which can result in higher performance and lower costs. This paper presents a novel low-cost fabrication technique for metal-filled TSVs using bonded gold-wires as conductive path. In this concept the wires are surrounded by polymer, which acts both as an electrical insulator causing low capacitive coupling towards the substrate and as a buffer for thermo-mechanical stress.
  •  
26.
  •  
27.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Method for plugging a hole and a plugged hole
  • 2009
  • Patent (populärvet., debatt m.m.)abstract
    • A method for at least partially inserting a plug into a hole, said method comprising the steps of a) providing a at least one substrate with at least one hole wherein said at least one hole has a largest dimension of from 1 μm to 300 μm, b) providing a piece of material, wherein said piece of material has a larger dimension than said at least one hole, c) pressing said piece of material against the hole with a tool so that a plug is formed, wherein at least a part of said piece of material is pressed into said hole, d) removing the tool from the piece of material. There is further disclosed a plugged hole manufactured with the method. One advantage of an embodiment is that an industrially available wire bonding technology can be used to seal various cavities. The existing wire bonding technology makes the plugging fast and cheap.
  •  
28.
  •  
29.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Selective electroless nickel plating on oxygen-plasma-activated gold seed-layers for the fabrication of low contact resistance vias and microstructures
  • 2010
  • Ingår i: MEMS 2010. - : IEEE. - 9781424457618 ; , s. 472-475
  • Konferensbidrag (refereegranskat)abstract
    • This paper presents a novel technique to selectively deposit nickel by electroless plating on gold seed layers using an oxygen-plasma-activation step. No prior wet surface pre- treatments or metal oxide etches are required. This enables the manufacturing of low-resistance vias for heterogeneous three-dimensional (3D) integration of MEMS but it is also a suitable technique for the fabrication of arbitrary shaped nickel-microstructures using chemically stable and cost-effective electroless nickel plating baths.
  •  
30.
  • Fischer, Andreas C., 1982- (författare)
  • Three modifications of Pr-2(ClO4)(2)(H2I2O10) center dot 8 H2O - A theme with variations
  • 2004
  • Ingår i: Zeitschrift für Anorganische und Allgemeines Chemie. - : Wiley. - 0044-2313 .- 1521-3749. ; 630:2, s. 309-312
  • Tidskriftsartikel (refereegranskat)abstract
    • From solutions containing praseodymium perchlorate and periodic acid, three different modifications of [Pr-2(ClO4)(2)(H2I2O10)] . 8 H2O could be obtained. All of them crystallize in the monoclinic system, space group P2(1)/c (alpha: a = 1091.47(6), b = 728.24(4), c = 1388.84(8) pm, beta = 101.420(3)degrees; beta: a = 1169.93(3), b = 728.72(2), c = 1384.50(4) pm, beta = 112.303(2)degrees; gamma: a = 1209.56(4), b = 712.53(2), c = 1361.64(5) pm, beta = 115.691(1)degrees). The structures contain Pr3+ cations which are coordinated by [H2I2O10](4-) anions yielding two-dimensional networks. These networks are separated by ClO4- anions yielding a layered structure.
  •  
31.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Unconventional applications of wire bonding create opportunities for microsystem integration
  • 2013
  • Ingår i: Journal of Micromechanics and Microengineering. - : IOP publishing. - 0960-1317 .- 1361-6439. ; 23:8, s. 083001-
  • Forskningsöversikt (refereegranskat)abstract
    • Automatic wire bonding is a highly mature, cost-efficient and broadly available back-endprocess, intended to create electrical interconnections in semiconductor chip packaging. Modern production wire-bonding tools can bond wires with speeds of up to 30 bonds per second with placement accuracies of better than 2 mu m, and the ability to form each wire individually into a desired shape. These features render wire bonding a versatile tool also for integrating wires in applications other than electrical interconnections. Wire bonding has been adapted and used to implement a variety of innovative microstructures. This paper reviews unconventional uses and applications of wire bonding that have been reported in the literature. The used wire-bonding techniques and materials are discussed, and the implemented applications are presented. They include the realization and integration of coils, transformers, inductors, antennas, electrodes, through silicon vias, plugs, liquid and vacuum seals, plastic fibers, shape memory alloy actuators, energy harvesters and sensors.
  •  
32.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Very high aspect ratio through-silicon vias (TSVs) fabricated using automated magnetic assembly of nickel wires
  • 2012
  • Ingår i: Journal of Micromechanics and Microengineering. - : Institute of Physics (IOP). - 0960-1317 .- 1361-6439. ; 22:10, s. 105001-
  • Tidskriftsartikel (refereegranskat)abstract
    • Through-silicon via (TSV) technology enables 3D-integrated devices with higher performance and lower cost as compared to 2D-integrated systems. This is mainly due to smaller dimensions of the package and shorter internal signal lengths with lower capacitive, resistive and inductive parasitics. This paper presents a novel low-cost fabrication technique for metal-filled TSVs with very high aspect ratios (>20). Nickel wires are placed in via holes of a silicon wafer by an automated magnetic assembly process and are used as a conductive path of the TSV. This metal filling technique enables the reliable fabrication of through-wafer vias with very high aspect ratios and potentially eliminates characteristic cost drivers in the TSV production such as advanced metallization processes, wafer thinning and general issues associated with thin-wafer handling.
  •  
33.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Wafer-level integration of NiTi shape memory alloy wires for the fabrication of microactuators using standard wire bonding technology
  • 2011
  • Ingår i: 24th International Conference on Micro Electro Mechanical Systems (MEMS), 2011 IEEE. - : IEEE. ; , s. 348-351
  • Konferensbidrag (refereegranskat)abstract
    • This paper reports on the first integration of SMA wires into silicon based MEMS structures using a standard wire bonder. This approach allows fast and efficient placement, alignment and mechanical attachment of NiTi-based SMA wires to silicon-based MEMS. The wires are mechanically anchored and clamped into deep-etched silicon structures on a wafer. The placement precision is high with an average deviation of 4 #x03BC;m and the mechanical clamping is strong, allowing successful actuation of the SMA wires.
  •  
34.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Wire-bonded through-silicon vias with low capacitive substrate coupling
  • 2011
  • Ingår i: Journal of Micromechanics and Microengineering. - : IOP Science. - 0960-1317 .- 1361-6439. ; 21:8, s. 085035-
  • Tidskriftsartikel (refereegranskat)abstract
    • Three-dimensional integration of electronics and/or MEMS-based transducers is an emerging technology that vertically interconnects stacked dies with through-silicon vias (TSVs). They enable the realization of circuits with shorter signal path lengths, smaller packages and lower parasitic capacitances, which results in higher performance and lower costs. This paper presents a novel technique for fabricating TSVs from bonded gold wires. The wires are embedded in a polymer, which acts both as an electrical insulator, resulting in low capacitive coupling toward the substrate and as a buffer for thermo-mechanical stress.
  •  
35.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • Wire-bonder-assisted integration of non-bondable SMA wires into MEMS substrates
  • 2012
  • Ingår i: Journal of Micromechanics and Microengineering. - : Institute of Physics Publishing (IOPP). - 0960-1317 .- 1361-6439. ; 22:5, s. 055025-
  • Tidskriftsartikel (refereegranskat)abstract
    • This paper reports on a novel technique for the integration of NiTi shape memory alloy wires and other non-bondable wire materials into silicon-based microelectromechanical system structures using a standard wire-bonding tool. The efficient placement and alignment functions of the wire-bonding tool are used to mechanically attach the wire to deep-etched silicon anchoring and clamping structures. This approach enables a reliable and accurate integration of wire materials that cannot be wire bonded by traditional means.
  •  
36.
  • Forsberg, Fredrik, et al. (författare)
  • Heterogeneous 3D integration of 17 μm pitch Si/SiGe quantum well bolometer arrays for infrared imaging systems
  • 2013
  • Ingår i: Journal of Micromechanics and Microengineering. - : IOP Publishing. - 0960-1317 .- 1361-6439. ; 23:4
  • Tidskriftsartikel (refereegranskat)abstract
    • This paper reports on the realization of 17 μm × 17 μm pitch bolometer arrays for uncooled infrared imagers. Microbolometer arrays have been available in primarily defense applications since the mid-1980s and are typically based on deposited thin films on top of CMOS wafers that are surface-machined into sensor pixels. This paper instead focuses on the heterogeneous integration of monocrystalline Si/SiGe quantum-well-based thermistor material in a CMOS-compliant process using adhesive wafer bonding. The high-quality monocrystalline thermistor material opens up for potentially lower noise compared to commercially available uncooled microbolometer arrays together with a competitive temperature coefficient of resistance (TCR). Characterized bolometers had a TCR of -2.9% K-1 in vacuum, measured thermal conductances around 5 × 10-8 W K-1 and thermal time constants between 4.9 and 8.5 ms, depending on the design. Complications in the fabrication of stress-free bolometer legs and low-noise contacts are discussed and analyzed.
  •  
37.
  • Forsberg, Fredrik, et al. (författare)
  • High-Performance Infrared Micro-Bolometer Arrays Manufactured Using Very Large Scale Heterogeneous Integration
  • 2011
  • Ingår i: OMN2011. ; , s. 9-10
  • Konferensbidrag (refereegranskat)abstract
    • This paper reports on the implementation and characterization of arrays of uncooled infrared bolometers containing mono-crystalline Si/SiGe quantum well (QW) thermistors. The bolometer arrays are integrated on silicon fan-out wafers using very-large scale heterogeneous integration that is compatible with standard CMOS wafers. Infrared bolometer arrays with 320x240 pixels and pixel pitches of 25 mu m x 25 mu m and 17 mu m x 17 mu m have been implemented, respectively.
  •  
38.
  • Forsberg, Fredrik, et al. (författare)
  • Very large scale heterogeneous integration (VLSHI) and wafer-level vacuum packaging for infrared bolometer focal plane arrays
  • 2013
  • Ingår i: Infrared physics & technology. - : Elsevier BV. - 1350-4495 .- 1879-0275. ; 60, s. 251-259
  • Tidskriftsartikel (refereegranskat)abstract
    • Imaging in the long wavelength infrared (LWIR) range from 8 to 14 μm is an extremely useful tool for non-contact measurement and imaging of temperature in many industrial, automotive and security applications. However, the cost of the infrared (IR) imaging components has to be significantly reduced to make IR imaging a viable technology for many cost-sensitive applications. This paper demonstrates new and improved fabrication and packaging technologies for next-generation IR imaging detectors based on uncooled IR bolometer focal plane arrays. The proposed technologies include very large scale heterogeneous integration for combining high-performance, SiGe quantum-well bolometers with electronic integrated read-out circuits and CMOS compatible wafer-level vacuum packing. The fabrication and characterization of bolometers with a pitch of 25 μm × 25 μm that are arranged on read-out-wafers in arrays with 320 × 240 pixels are presented. The bolometers contain a multi-layer quantum well SiGe thermistor with a temperature coefficient of resistance of -3.0%/K. The proposed CMOS compatible wafer-level vacuum packaging technology uses Cu-Sn solid-liquid interdiffusion (SLID) bonding. The presented technologies are suitable for implementation in cost-efficient fabless business models with the potential to bring about the cost reduction needed to enable low-cost IR imaging products for industrial, security and automotive applications.
  •  
39.
  • Gao, Jiajia, et al. (författare)
  • Crystallography as Forensic Tool for Understanding Electrolyte Degradation in Dye-sensitized Solar Cells
  • 2017
  • Ingår i: CHEMISTRYSELECT. - : WILEY-V C H VERLAG GMBH. - 2365-6549. ; 2:4, s. 1675-1680
  • Tidskriftsartikel (refereegranskat)abstract
    • The precipitation of solid compounds from model electrolytes for liquid dye-sensitized solar cells has a story to tell regarding decomposition processes to be expected in such systems. Of course, the crystal lattice energy for a specific crystalline compounds plays a role in what compound that will eventually precipitate, but the compounds nevertheless serve as indicators for what type of processes that take place in the solar cell electrolytes upon ageing. From the compounds isolated in this study we learn that both ligand exchange processes, double-salt precipitation and oxidation are degradation processes that should not be overlooked when formulating efficient and stable electrolytes for this type of electrochemical system.
  •  
40.
  • Joshi, Deep C., et al. (författare)
  • 2D crystal structure and anisotropic magnetism of GdAu6.75−xAl0.5+x (x ≈ 0.54)
  • 2022
  • Ingår i: Scientific Reports. - : Springer Science and Business Media LLC. - 2045-2322. ; 12
  • Tidskriftsartikel (refereegranskat)abstract
    • Exploration of the gold-rich part of the ternary Gd–Au–Al system afforded the intermetallic compound GdAu6.75−xAl0.5+x (x ≈ 0.54) which was structurally characterized by single crystal X-ray diffraction (Pnma, a = 18.7847(4) Å, b = 23.8208(5) Å, c = 5.3010(1) Å). GdAu6.75−xAl0.5+x crystallizes in a previously unknown structure type featuring layers of Gd2(Au, Al)29 and Gd2(Au, Al)28 clusters which are arranged as in a close-packing parallel to the ac plane. The Gd substructure corresponds to slightly corrugated 36 nets (dGd–Gd = 5.30–5.41 Å) which are stacked on top of each other along the b direction with alternating short (5.4, 5.6 Å, within layers) and long distances (6.4 Å, between layers). The title compound has been discussed with respect to a quasicrystal approximant (1/1 AC) GdAu5.3Al in the same system. The magnetic properties of GdAu6.75−xAl0.5+x were found to be reminiscent to those of some ternary ACs, with sharp peaks in the temperature dependent magnetization, and metamagnetic-like transitions. The material becomes antiferromagnetic below 25 K; magnetometry results suggest that the antiferromagnetic state is composed of ferromagnetic ac planes, coupled antiferromagnetically along the b direction.
  •  
41.
  • Laakso, Miku J., et al. (författare)
  • Through-Glass Vias for Glass Interposers and MEMS Packaging Applications Fabricated Using Magnetic Assembly of Microscale Metal Wires
  • 2018
  • Ingår i: IEEE Access. - : Institute of Electrical and Electronics Engineers (IEEE). - 2169-3536. ; 6, s. 44306-44317
  • Tidskriftsartikel (refereegranskat)abstract
    • A through-glass via (TGV) provides a vertical electrical connection through a glass substrate. TGVs are used in advanced packaging solutions, such as glass interposers and wafer-level packaging of microelectromechanical systems (MEMS). However, TGVs are challenging to realize because via holes in glass typically do not have a sufficiently high-quality sidewall profile for super-conformal electroplating of metal into the via holes. To overcome this problem, we demonstrate here that the via holes can instead be filled by magnetically assembling metal wires into them. This method was used to produce TGVs with a typical resistance of 64 m Omega, which is comparable with other metal TGV types reported in the literature. In contrast to many TGV designs with a hollow center, the proposed TGVs can be more area efficient by allowing solder bump placement directly on top of the TGVs, which was demonstrated here using solder-paste jetting. The magnetic assembly process can be parallelized using an assembly robot, which was found to provide an opportunity for increased wafer-scale assembly speed. The aforementioned qualities of the magnetically assembled TGVs allow the realization of glass interposers and MEMS packages in different thicknesses without the drawbacks associated with the current TGV fabrication methods.
  •  
42.
  • Laakso, Miku, 1989-, et al. (författare)
  • Through-Glass Vias for MEMS Packaging
  • 2018
  • Konferensbidrag (övrigt vetenskapligt/konstnärligt)abstract
    • Novelty / Progress Claims We have developed a new method for fabrication of through-glass vias (TGVs). The method allows rapid filling of via holes with metal rods both in thin and thick glass substrates.Background Vertical electrical feedthroughs in glass substrates, i.e. TGVs, are often required in wafer-scale packaging of MEMS that utilizes glass lids. The current methods of making TGVs have drawbacks that prevent the full utilization of the excellent properties of glass as a package material, e.g. low RF losses. Magnetic assembly has been used earlier to fabricate through-silicon vias (TSVs), and in this work we extend this method to realize TGVs [1]. Methods The entire TGV fabrication process is maskless, and the processes used include: direct patterning of wafer metallization using femtosecond laser ablation, magnetic-fieldassisted self-assembly of metal wires into via holes, and solder-paste jetting of bump bonds on TGVs.Results We demonstrate that: (1) the magnetically assembled TGVs have a low resistance, which makes them suitable even for low-loss and high-current applications; (2) the magneticassembly process can be parallelized in order to increase the wafer-scale fabrication speed; (3) the magnetic assembly produces void-free metal filling for TGVs, which allows solder placement directly on top of the TGV for the purpose of high integration density; and (4) good thermal-expansion compatibility between TGV metals and glass substrates is possible with the right choice of materials, and several suitable metals-glass pairs are identified for possible improvement of package reliability [2].[1] M. Laakso et al., IEEE 30th Int. Conf. on MEMS, 2017. DOI:10.1109/MEMSYS.2017.7863517[2] M. Laakso et al., “Through-Glass Vias for Glass Interposers and MEMS Packaging Utilizing Magnetic Assembly of Microscale Metal Wires,” manuscript in preparatio
  •  
43.
  • Smith, Anderson D., et al. (författare)
  • Pressure sensors based on suspended graphene membranes
  • 2013
  • Ingår i: Solid-State Electronics. - : Elsevier BV. - 0038-1101 .- 1879-2405. ; 88, s. 89-94
  • Tidskriftsartikel (refereegranskat)abstract
    • A novel pressure sensor based on a suspended graphene membrane is proposed. The sensing mechanism is explained based on tight binding calculations of strain-induced changes in the band structure. A CMOS compatible fabrication process is proposed and used to fabricate prototypes. Electrical measurement data demonstrates the feasibility of the approach, which has the advantage of not requiring a separate strain gauge, i.e. the strain gauge is integral part of the pressure sensor membrane. Hence, graphene membrane based pressure sensors can in principle be scaled quite aggressively in size.
  •  
44.
  • Smith, Anderson, et al. (författare)
  • Electromechanical Piezoresistive Sensing in Suspended Graphene Membranes
  • 2013
  • Ingår i: Nano letters (Print). - : American Chemical Society (ACS). - 1530-6984 .- 1530-6992. ; 13:7, s. 3237-3242
  • Tidskriftsartikel (refereegranskat)abstract
    • Monolayer graphene exhibits exceptional electronic and mechanical properties, making it a very promising material for nanoelectromechanical devices. Here, we conclusively demonstrate the piezoresistive effect in graphene in a nanoelectromechanical membrane configuration that provides direct electrical readout of pressure to strain transduction. This makes it highly relevant for an important class of nanoelectromechanical system (NEMS) transducers. This demonstration is consistent with our simulations and previously reported gauge factors and simulation values. The membrane in our experiment acts as a strain gauge independent of crystallographic orientation and allows for aggressive size scalability. When compared with conventional pressure sensors, the sensors have orders of magnitude higher sensitivity per unit area.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-44 av 44

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy