SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Radamson Henry) "

Sökning: WFRF:(Radamson Henry)

  • Resultat 1-50 av 179
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Abdi, Y., et al. (författare)
  • Light-emitting nano-porous silicon structures fabricated using a plasma hydrogenation technique
  • 2005
  • Ingår i: Materials Science and Engineering B: Solid-State Materials for Advanced Technology. - : Elsevier BV. - 0921-5107. ; 124-125:SUPPL., s. 483-487
  • Tidskriftsartikel (refereegranskat)abstract
    • The preparation of porous silicon films by DC-plasma hydrogenation and subsequent annealing of amorphous silicon films on silicon and glass substrates is reported for the first time. The effects of varying plasma power and annealing temperatures have been investigated and characterized by scanning-electron microscopy, transmission-electron microscopy, and photoluminescence. A plasma density of about 5.5 W/m2 and hydrogenation-annealing temperatures of about 400 °C was found to be suitable for the formation of nano-crystalline silicon films with grain diameters of the order of 3-10 nm. The intensity and wavelength of the emitted visible light were found to depend on the hydrogenation and annealing conditions, and patterning of the silicon films using standard lithography allowed the creation of light-emitting patterns.
  •  
2.
  • Abedin, Ahmad, et al. (författare)
  • GeSnSi CVD Epitaxy using Silane, Germane, Digermane, and Tin tetrachloride
  • Tidskriftsartikel (refereegranskat)abstract
    • In this study, strain relaxed and compressive strained Ge1-x-ySnxSiy (0.015≤x≤0.15 and 0≤y≤0.15) layers were epitaxially grown on Si substrate in a chemical vapor deposition reactor at atmospheric pressure. Digermane (Ge2H6) and germane (GeH4) were used as Ge precursors and tin tetrachloride (SnCl4) was used as Sn precursor. The growth temperature was kept below 400ᵒC to suppress Sn out diffusion. The layers crystal quality and strain were characterized using XRD, high resolution reciprocal lattice mapping and transmission electron microscopy and the surface morphology was investigated by atomic force microscopy (AFM). Furthermore, the low temperature epitaxial growth up to 15% Si atoms incorporation in Ge0.94Sn0.06 was demonstrated by adding silane (SiH4) as Si precursor. Sn contents calculated from high resolution XRD patterns were confirmed by Rutherford backscattering spectroscopy which shows that Sn atoms are mostly positioned in substitutional sites. AFM analysis showed below 1nm surface roughness for both strained and strain relaxed GeSn layers which make the promising materials for photonics and electronics applications.
  •  
3.
  • Abedin, Ahmad, et al. (författare)
  • Sensitivity of the crystal quality of SiGe layers grown at low temperatures by trisilane and germane
  • 2016
  • Ingår i: Thin Solid Films. - : Elsevier. - 0040-6090 .- 1879-2731. ; 613, s. 38-42
  • Tidskriftsartikel (refereegranskat)abstract
    • This work investigates the crystal quality of SiGe layers grown at low temperatures using trisilane, and germane precursors. The crystal quality sensitivity was monitored for hydrogen chloride and/or minor oxygen amount during SiGe epitaxy or at the interface of SiGe/Si layers. The quality of the epi-layerswas examined by quantifying noise parameter, K-1/f obtained from the power spectral density vs. 1/f curves. The results indicate that while it is difficult to detect small defect densities in SiGe layers by physical material characterization, the noise measurement could reveal the effects of oxygen contamination as low as 0.16mPa inside and in the interface of the layers.
  •  
4.
  • Aggerstam, Thomas, et al. (författare)
  • Investigation of the interface properties of MOVPE grown AlGaN/GaN high electron mobility transistor (HEMT) structures on sapphire
  • 2006
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 515:2, s. 705-707
  • Tidskriftsartikel (refereegranskat)abstract
    • We have developed a virtual GaN substrate on sapphire based on a two-step growth method. By optimizing the growth scheme for the virtual substrate we have improved crystal quality and reduced interface roughness. Our Al0.22Ga0.78N/GaN HEMT structure grown on the optimized semi-insulating GaN virtual substrate, exhibits Hall mobilities as high as 1720 and 7350 cm(2)/Vs and sheet carrier concentrations of 8.4 x 1012 and 10.0 x 1012 cm(-2) at 300 K and 20 K, respectively The presence of good AlGaN/GaN interface quality and surface morphology is also substantiated by X-Ray reflectivity and Atomic Force Microscopy measurements. A simplified transport model is used to fit the experimental Hall mobility.
  •  
5.
  • Akbar, F., et al. (författare)
  • Graphene synthesis, characterization and its applications in nanophotonics, nanoelectronics, and nanosensing
  • 2015
  • Ingår i: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 26:7, s. 4347-4379
  • Tidskriftsartikel (refereegranskat)abstract
    • In the last decade, as semiconductor industry was approaching the end of the exponential Moore's roadmap for device downscaling, the necessity of finding new candidate materials has forced many research groups to explore many different types of non-conventional materials. Among them, graphene, CNTs and organic conductors are the most successful alternatives. Finding a material with metallic properties combined with field effect characteristics on nanoscale level has been always a dream to continue the ever-shrinking road of the nanoelectronics. Due to its fantastic features such as high mobility, optical transparency, room temperature quantum Hall effect, mechanical stiffness, etc. the atomically thin carbon layer, graphene, has attracted the industry's attention not only in the micro-, nano-, and opto-electronics but also in biotechnology. This paper reviews the basics and previous works on graphene technology and its developments. Compatibility of this material with Si processing technology is its crucial characteristic for mass production. This study also reviews the physical and electrical properties of graphene as a building block for other carbon allotropes. Different growth methods and a wide range of graphene's applications will be discussed and compared. A brief comparison on the performance result of different types of devices has also been presented. Until now, the main focus of research has been on the background physics and its application in electronic devices. But, according to the recent works on its applications in photonics and optoelectronics, where it benefits from the combination of its unique optical and electronic properties, even without a bandgap, this material enables ultrawide-band tunability. Here in this article we review different applications and graphene's advantages and drawbacks will be mentioned to conclude at the end.
  •  
6.
  • Akbari-Saatlu, Mehdi, et al. (författare)
  • H2S gas sensing based on SnO2thin films deposited by ultrasonic spray pyrolysis on Al2O3substrate
  • 2021
  • Ingår i: 2021 IEEE Sensors Applications Symposium (SAS). - 9781728194318
  • Konferensbidrag (refereegranskat)abstract
    • H2S gas is harmful for human health and environment, therefore novel gas sensors for real time and fast detection with high precision have been sought. Metal oxides are already known as promising candidate for this purpose. This article presents the performance of a gas sensor consists of a microheater and active layer formed on single alumina substrate for operating at high temperature applications. Ultrasonic spray pyrolysis deposition method was used to make both thick layer of SnO2 for microheater and thin and porous crystalline layer of SnO2 as sensing layer. The prepared sensor showed suitable dynamic response towards 10 to 50 ppm of H2S gas both in humid and dry conditions at 450 °C. In these experiments, the cross sensitivity of the sensor was also checked for other interfering gases e.g. CH4 and NO2.
  •  
7.
  • Akbari-Saatlu, Mehdi, et al. (författare)
  • Nanometer-Thick ZnO/SnO2Heterostructures Grown on Alumina for H2S Sensing
  • 2022
  • Ingår i: ACS Applied Nano Materials. - : American Chemical Society (ACS). - 2574-0970. ; 5:5, s. 6954-6963
  • Tidskriftsartikel (refereegranskat)abstract
    • Designing heterostructure materials at the nanoscale is a well-known method to enhance gas sensing performance. In this study, a mixed solution of zinc chloride and tin (II) chloride dihydrate, dissolved in ethanol solvent, was used as the initial precursor for depositing the sensing layer on alumina substrates using the ultrasonic spray pyrolysis (USP) method. Several ZnO/SnO2 heterostructures were grown by applying different ratios in the initial precursors. These heterostructures were used as active materials for the sensing of H2S gas molecules. The results revealed that an increase in the zinc chloride in the USP precursor alters the H2S sensitivity of the sensor. The optimal working temperature was found to be 450 °C. The sensor, containing 5:1 (ZnCl2: SnCl2·2H2O) ratio in the USP precursor, demonstrates a higher response than the pure SnO2 (∼95 times) sample and other heterostructures. Later, the selectivity of the ZnO/SnO2 heterostructures toward 5 ppm NO2, 200 ppm methanol, and 100 ppm of CH4, acetone, and ethanol was also examined. The gas sensing mechanism of the ZnO/SnO2 was analyzed and the remarkably enhanced gas-sensing performance was mainly attributed to the heterostructure formation between ZnO and SnO2. The synthesized materials were also analyzed by X-ray diffraction, scanning electron microscopy, energy-dispersive X-ray, transmission electron microscopy, and X-ray photoelectron spectra to investigate the material distribution, grain size, and material quality of ZnO/SnO2 heterostructures. 
  •  
8.
  • Akbari-Saatlu, Mehdi, et al. (författare)
  • Silicon Nanowires for Gas Sensing : A Review
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:11
  • Forskningsöversikt (refereegranskat)abstract
    • The unique electronic properties of semiconductor nanowires, in particular silicon nanowires (SiNWs), are attractive for the label-free, real-time, and sensitive detection of various gases. Therefore, over the past two decades, extensive efforts have been made to study the gas sensing function of NWs. This review article presents the recent developments related to the applications of SiNWs for gas sensing. The content begins with the two basic synthesis approaches (top-down and bottom-up) whereby the advantages and disadvantages of each approach have been discussed. Afterwards, the basic sensing mechanism of SiNWs for both resistor and field effect transistor designs have been briefly described whereby the sensitivity and selectivity to gases after different functionalization methods have been further presented. In the final words, the challenges and future opportunities of SiNWs for gas sensing have been discussed.
  •  
9.
  • Andersson, J. Y., et al. (författare)
  • Quantum structure based infrared detector research and development within Acreo's centre of excellence IMAGIC
  • 2010
  • Ingår i: Infrared physics & technology. - : Elsevier BV. - 1350-4495 .- 1879-0275. ; 53:4, s. 227-230
  • Tidskriftsartikel (refereegranskat)abstract
    • Acreo has a long tradition of working with quantum structure based infrared (IR) detectors and arrays. This includes QWIP (quantum well infrared photodetector), QDIP (quantum dot infrared photodetector), and InAs/GaInSb based photon detectors of different structure and composition. It also covers R&D on uncooled microbolometers. The integrated thermistor material of such detectors is advantageously based on quantum structures that are optimised for high temperature coefficient and low noise. Especially the SiGe material system is preferred due to the compatibility with silicon technology. The R&D work on IR detectors is a prominent part of Acreo's centre of excellence "IMAGIC" on imaging detectors and systems for non-visible wavelengths. IMAGIC is a collaboration between Acreo, several industry partners and universities like the Royal Institute of Technology (KTH) and Linkoping University. (C) 2010 Elsevier B.V. All rights reserved.
  •  
10.
  • Asadollahi, Ali, et al. (författare)
  • Fabrication of relaxed germanium on insulator via room temperature wafer bonding
  • 2014
  • Ingår i: ECS Transactions. - : Electrochemical Society. - 1938-6737. ; , s. 533-541
  • Konferensbidrag (refereegranskat)abstract
    • We report on the fabrication of, high quality, monocrystalline relaxed Germanium with ultra-low roughness on insulator (GeOI) using low-temperature direct wafer bonding. We observe that a two-step epitaxially grown germanium film fabricated on silicon by reduced pressure chemical vapor deposition can be directly bonded to a SiO2 layer using a thin Al2O3 as bonding mediator. After removing the donor substrate silicon the germanium layer exhibits a complete relaxation without degradation in crystalline quality and no stress in the film. . The results suggest that the fabricated high quality GeOI substrate is a suitable platform for high performance device applications.
  •  
11.
  • Asadollahi, Ali, et al. (författare)
  • Fabrication of strained Ge on insulator via room temperature wafer bonding
  • 2014
  • Ingår i: 2014 15th International Conference on Ultimate Integration on Silicon, ULIS 2014. - : IEEE Computer Society. - 9781479937189 ; , s. 81-84
  • Konferensbidrag (refereegranskat)abstract
    • This work describes a strained germanium on insulator (GeOI) fabrication process using wafer bonding and etch-back techniques. The strained Ge layer is fabricated epitaxially using reduced pressure chemical vapor deposition (RPCVD). The strained Ge is grown pseudomorphic on top of a partially relaxed Si 0.66Ge0.34 layer. Wafer bonding is performed at room temperature without post-anneal processes and the etch-back steps are performed without mechanical grinding and chemical mechanical polishing (CMP).
  •  
12.
  • Azarov, Alexander, et al. (författare)
  • Dopant incorporation in thin strained Si layers implanted with Sb
  • 2010
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 518:9, s. 2474-2477
  • Tidskriftsartikel (refereegranskat)abstract
    • The effect of tensile strain on Sb incorporation in Si and its activation during post-implantation annealing has been Studied by a combination of Rutherford backscattering/channeling spectrometry, secondary ion mass spectrometry. X-ray diffraction and 4-point probe measurements Our results show that, for Sb implanted samples a tensile strain has an important role for dopant behavior Particularly, increasing the tensile strain in the Si layer from 0 to 0 8% leads to an enhancement of the fraction of incorporated Sb atoms in substitutional sites already during implantation from similar to 7 to 30% Furthermore, 0 8% strain in antimony doped Si gives similar to 20% reduction in the sheet resistance in comparison to the unstrained sample.
  •  
13.
  • Bennett, N. S., et al. (författare)
  • Enhanced n-type dopant solubility in tensile-strained Si
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 331-333
  • Tidskriftsartikel (refereegranskat)abstract
    • The creation of highly conductive ultrashallow-doped regions in strained Si is a key requirement for future Si based devices. It is shown that in the presence of tensile strain, Sb becomes a contender to replace As in strain-engineered CMOS devices due to advantages in sheet resistance. While strain reduces resistance for both As and Sb; a result of enhanced electron mobility, the reduction is significantly larger for Sb due to an increase in donor activation. Differential Hall measurements suggest this is a consequence of a strain-induced Sb solubility enhancement following solid-phase epitaxial regrowth, increasing Sb solubility in Si to levels approaching 10(21) cm(-3). Experiments highlight the importance of maintaining substrate strain during thermal annealing to maintain this high Sb activation.
  •  
14.
  • Bentzen, Andreas, et al. (författare)
  • Mechanisms of diffusion-enhanced thermal stability of Si/Si1-xGex/Si heterostructures grown by chemical vapor deposition
  • 2004
  • Ingår i: Journal of Crystal Growth. - : Elsevier BV. - 0022-0248 .- 1873-5002. ; 261:1, s. 22-29
  • Tidskriftsartikel (refereegranskat)abstract
    • The thermal stability of doped Si/Si0.8Ge0.2/Si (n-p-n or p-n-p) structures grown by reduced pressure chemical vapor deposition has been studied in correlation with the dopant in- and out-diffusion, using high-resolution X-ray reciprocal lattice mapping and secondary ion mass spectrometry as the main characterization tools. Initially, by doping the strained Si0.8Ge0.2 layer with reasonable amounts of boron, phosphorus, or arsenic, the thermal stability of the structures is shown to be dramatically increased compared to intrinsic layers. Secondly, the results show that when the dopants are present only in the Si buffer and cap layers, intrinsic Si spacer layers are required to obtain a significant enhancement in the thermal stability. These spacers reduce the interfacial dopant concentration and act as barriers for direct injection of precipitates into the SiGe layers. Finally, p-n-p and n-p-n structures were studied showing a very good thermal stability, due to enhanced out-diffusion of dopants from the SiGe layer upon in-diffusion from the adjacent layers. By employing i-Si spacers, the boron out-diffusion in a n-p-n structure was reduced, giving rise to a degradation of the thermal stability of this structure.
  •  
15.
  • Bowallius, Olof, et al. (författare)
  • Scanning Capacitance Microscopy for Two-Dimensional Doping Profiling in Si- and InP-Based Device Structures
  • 1999
  • Ingår i: Physica Scripta T. - 0281-1847. ; 79, s. 163-166
  • Tidskriftsartikel (refereegranskat)abstract
    • We report on the application of cross-sectional Scanning Capacitance Microscopy (SCM) for studying two-dimensional doping variations in Si and InP device structures. Different sample preparation methods were evaluated and the response of the SCM signal from various test structures, including epitaxially grown layers with n- and p-doping concentrations ranging from 5 × 1014 to 2 × 1019 cm-3, were examined under different imaging conditions. The technique was further evaluated by imaging a Si bipolar transistor structure and an InP-based buried heterostructure diode laser. We conclude that valuable information can be gained also from complex device structures.
  •  
16.
  • Christensen, JS, et al. (författare)
  • Diffusion of phosphorus in relaxed Si1-xGex films and strained Si/Si1-xGex heterostructures
  • 2003
  • Ingår i: Journal of Applied Physics. - : AIP Publishing. - 0021-8979 .- 1089-7550. ; 94:10, s. 6533-6540
  • Tidskriftsartikel (refereegranskat)abstract
    • Phosphorus diffusion has been studied in relaxed Si1-xGex samples (x=0.11 and 0.19) and strained Si/Si1-xGex/Si heterostructures (x=0.08, 0.13, and 0.18). The diffusivity of P is found to increase with increasing Ge content, while the influence of compressive strain results in a decrease in diffusivity as compared to that in relaxed material. The effect of strain is found to be equivalent to an apparent activation energy of -13 eV per unit strain, where the negative sign indicates that the P diffusion is mediated by interstitials in Si1-xGex (x<0.20). This conclusion is also supported by an experiment utilizing injection of Si self-interstitials, which results in an enhanced P diffusion in strained Si1-xGex. Further, P is found to segregate into Si across Si/Si1-xGex interfaces and the segregation coefficient increases with increasing Ge concentration.
  •  
17.
  • Christensen, JS, et al. (författare)
  • Phosphorus and boron diffusion in silicon under equilibrium conditions
  • 2003
  • Ingår i: Applied Physics Letters. - : AIP Publishing. - 0003-6951 .- 1077-3118. ; 82:14, s. 2254-2256
  • Tidskriftsartikel (refereegranskat)abstract
    • The intrinsic diffusion of phosphorus and boron in high-purity epitaxial silicon films has been studied. Phosphorus diffusion in a wide temperature range (810 to 1100 degreesC) revealed diffusion coefficients with an Arrhenius behavior exhibiting an activation energy of 2.74+/-0.07 eV and a pre-exponential factor of (8+/-5)x10(-4) cm(2)/s. In the temperature range of 810 to 1050 degreesC, boron was found to diffuse with an activation energy of 3.12+/-0.04 eV and a pre-exponential factor of 0.06+/-0.02 cm(2)/s. These results differ from those of many previous studies, but this deviation may to a large extent be attributed to slow transients before equilibrium concentrations of point defects are established at temperatures below similar to1000 degreesC. Despite a similar diffusion mechanism mediated by Si self-interstitials, P exhibits a lower activation energy than B because of stronger bonding to the Si self-interstitial.
  •  
18.
  • Christensen, J. S., et al. (författare)
  • Phosphorus diffusion in the presence of threading dislocations in strain relaxed SiGe films
  • 2006
  • Ingår i: Materials Science in Semiconductor Processing. - : Elsevier BV. - 1369-8001 .- 1873-4081. ; 9:4-5, s. 650-654
  • Tidskriftsartikel (refereegranskat)abstract
    • We have studied phosphorus diffusion in strain relaxed Si1-xGex films (x = 0.1 and 0.2) by secondary ion mass spectrometry (SIMS). The relaxed films were grown with low-pressure chemical vapor deposition (LPCVD) on a Si substrate followed by a graded SiGe layer. Two sets of samples were prepared under different growth conditions, and by transmission electron microscopy (TEM) it was shown that these conditions resulted in one set of samples containing a high density of threading dislocations in the relaxed films, and one set with a low dislocation density. The SIMS profiles of the phosphorus distributions in the samples, after annealing in N-2-ambient in the temperature range of 700-950 degrees C, show that the phosphorus diffusion is significantly faster in the films with the high dislocation density. Furthermore, the data suggests that the fast diffusion is due to a higher mobility of the diffusing complex rather than an increase in the point defect concentration mediating the diffusion, a result which indicates that the threading dislocations may act as channels for the rapid dopant diffusion in SiGe.
  •  
19.
  • Derakhshandeh, J., et al. (författare)
  • Fabrication of 100 nm gate length MOSFET's using a novel carbon nanotube-based nano-lithography
  • 2005
  • Ingår i: Materials Science & Engineering. - : Elsevier BV. - 0921-5107 .- 1873-4944. ; 124, s. 354-358
  • Tidskriftsartikel (refereegranskat)abstract
    • PECVD-grown carbon nanotubes on (100)silicon substrates have been studied and exploited for electron emission applications. After the growth of vertical CNT's [Y. Abdi, J. Koohsorkhi, J. Derakhshandeh, S. Mohajerzadeh, H. Hosseinzadegan, M.D. Robertson, C. Benet, EMRS Spring Meeting, Strasbourg, France, May 2005] the grown nanotubes are encapsulated by means of an insulating TiO(2) layer, leading to beam-shape emission of electrons from the cathode towards the opposite anode electrode. The electron emission occurs using an anode-cathode voltage of 100 V with ability of direct writing on a photo-resist-coated substrates. Straight lines with widths between 50 and 200 nm have been successfully drawn. This technique has been applied on P-type (100)silicon substrates for the formation of the gate of N-MOSFET devices. The successful realization of MOSFET devices indicates its usefulness for applications in nano-electronic devices. This device has inversion Cox exceeding 0.7 mu F/cm(2), drive current equal to 3 10 mu A/mu m.
  •  
20.
  • Di Benedetto, Luigi, et al. (författare)
  • Strain balance approach for optimized signal-to-noise ratio in SiGe quantum well bolometers
  • 2009
  • Ingår i: ESSDERC 2009 - Proceedings of the 39th European Solid-State Device Research Conference. - 9781424443536 ; , s. 101-104
  • Konferensbidrag (refereegranskat)abstract
    • This work presents thermal and electrical characterization of SiGe/Si multi-quantum wells (MQWs) with different layer profiles in complete bolometer structures. The thermal property of the bolometers was studied by measuring thermal coefficient of resistivity (TCR) through I-V curves for five temperatures (25, 40, 55, 80 and 100°C) and for four different pixel areas. The results show a strong dependency of TCR on the Si/SiGe layer thickness and the presence of dopant impurity in the MQW. The noise measurements of MQWs were performed carefully by eliminating all external contributions and the noise spectroscopy provided the noise characteristic parameters. The results demonstrate that the noise depends on the geometric size of the MQW and it increases with decreasing of the pixel area. The investigations show the noise level in the bolometer structures is sensitive to any dopant segregation from the contact layers.
  •  
21.
  • Du, Yong, et al. (författare)
  • Investigation of the Heteroepitaxial Process Optimization of Ge Layers on Si (001) by RPCVD
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:4
  • Tidskriftsartikel (refereegranskat)abstract
    • This work presents the growth of high-quality Ge epilayers on Si (001) substrates using a reduced pressure chemical vapor deposition (RPCVD) chamber. Based on the initial nucleation, a low temperature high temperature (LT-HT) two-step approach, we systematically investigate the nucleation time and surface topography, influence of a LT-Ge buffer layer thickness, a HT-Ge growth temperature, layer thickness, and high temperature thermal treatment on the morphological and crystalline quality of the Ge epilayers. It is also a unique study in the initial growth of Ge epitaxy; the start point of the experiments includes Stranski-Krastanov mode in which the Ge wet layer is initially formed and later the growth is developed to form nuclides. Afterwards, a two-dimensional Ge layer is formed from the coalescing of the nuclides. The evolution of the strain from the beginning stage of the growth up to the full Ge layer has been investigated. Material characterization results show that Ge epilayer with 400 nm LT-Ge buffer layer features at least the root mean square (RMS) value and it's threading dislocation density (TDD) decreases by a factor of 2. In view of the 400 nm LT-Ge buffer layer, the 1000 nm Ge epilayer with HT-Ge growth temperature of 650 degrees C showed the best material quality, which is conducive to the merging of the crystals into a connected structure eventually forming a continuous and two-dimensional film. After increasing the thickness of Ge layer from 900 nm to 2000 nm, Ge surface roughness decreased first and then increased slowly (the RMS value for 1400 nm Ge layer was 0.81 nm). Finally, a high-temperature annealing process was carried out and high-quality Ge layer was obtained (TDD=2.78 x 10(7) cm(-2)). In addition, room temperature strong photoluminescence (PL) peak intensity and narrow full width at half maximum (11 meV) spectra further confirm the high crystalline quality of the Ge layer manufactured by this optimized process. This work highlights the inducing, increasing, and relaxing of the strain in the Ge buffer and the signature of the defect formation.
  •  
22.
  • Du, Yong, et al. (författare)
  • Review of Highly Mismatched III-V Heteroepitaxy Growth on (001) Silicon
  • 2022
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 12:5
  • Forskningsöversikt (refereegranskat)abstract
    • Si-based group III-V material enables a multitude of applications and functionalities of the novel optoelectronic integration chips (OEICs) owing to their excellent optoelectronic properties and compatibility with the mature Si CMOS process technology. To achieve high performance OEICs, the crystal quality of the group III-V epitaxial layer plays an extremely vital role. However, there are several challenges for high quality group III-V material growth on Si, such as a large lattice mismatch, highly thermal expansion coefficient difference, and huge dissimilarity between group III-V material and Si, which inevitably leads to the formation of high threading dislocation densities (TDDs) and anti-phase boundaries (APBs). In view of the above-mentioned growth problems, this review details the defects formation and defects suppression methods to grow III-V materials on Si substrate (such as GaAs and InP), so as to give readers a full understanding on the group III-V hetero-epitaxial growth on Si substrates. Based on the previous literature investigation, two main concepts (global growth and selective epitaxial growth (SEG)) were proposed. Besides, we highlight the advanced technologies, such as the miscut substrate, multi-type buffer layer, strain superlattice (SLs), and epitaxial lateral overgrowth (ELO), to decrease the TDDs and APBs. To achieve high performance OEICs, the growth strategy and development trend for group III-V material on Si platform were also emphasized.
  •  
23.
  • Du, Y., et al. (författare)
  • Strain modulation of selectively and/or globally grown ge layers
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:6
  • Tidskriftsartikel (refereegranskat)abstract
    • This article presents a novel method to grow a high-quality compressive-strain Ge epilayer on Si using the selective epitaxial growth (SEG) applying the RPCVD technique. The procedures are composed of a global growth of Ge layer on Si followed by a planarization using CMP as initial process steps. The growth parameters of the Ge layer were carefully optimized and after cycle-annealing treatments, the threading dislocation density (TDD) was reduced to 3 × 107 cm−2 . As a result of this process, a tensile strain of 0.25% was induced, whereas the RMS value was as low as 0.81 nm. Later, these substrates were covered by an oxide layer and patterned to create trenches for selective epitaxy growth (SEG) of the Ge layer. In these structures, a type of compressive strain was formed in the SEG Ge top layer. The strain amount was −0.34%; meanwhile, the TDD and RMS surface roughness were 2 × 106 cm−2 and 0.68 nm, respectively. HRXRD and TEM results also verified the existence of compressive strain in selectively grown Ge layer. In contrast to the tensile strained Ge layer (globally grown), enhanced PL intensity by a factor of more than 2 is partially due to the improved material quality. The significantly high PL intensity is attributed to the improved crystalline quality of the selectively grown Ge layer. The change in direct bandgap energy of PL was observed, owing to the compressive strain introduced. Hall measurement shows that a selectively grown Ge layer possesses room temperature hole mobility up to 375 cm2/Vs, which is approximately 3 times larger than that of the Ge (132 cm2/Vs). Our work offers fundamental guidance for the growth of high-quality and compressive strain Ge epilayer on Si for future Ge-based optoelectronics integration applications.
  •  
24.
  • Duan, Ningyuan, et al. (författare)
  • Reduction of NiGe/n- and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation
  • 2016
  • Ingår i: IEEE Transactions on Electron Devices. - : IEEE. - 0018-9383 .- 1557-9646. ; 63:11, s. 4546-4549
  • Tidskriftsartikel (refereegranskat)abstract
    • This brief explores the specific contact resistivity (rho(c)) of NiGe/n- and p-Ge contacts with and without carbon pregermanidation implantation. It is found that in the presence of carbon, not only the thermal stability of NiGe films is improved, but also the rho(c) of the NiGe/n- and p-Ge contacts is reduced remarkably due to enhanced phosphorus (P) and boron (B) dopant segregation (DS) at the NiGe/Ge interface after nickel germanidation. At 500 degrees C germanidation temperature, the.c values are reduced from 1.1 x 10(-4) Omega-cm(2) and 2.9 x 10(-5) Omega-cm(2) for NiGe/n- and p-Ge contacts without carbon to 7.3 x 10(-5) Omega-cm(2) and 1.4 x 10(-5) Omega-cm(2) for their counterparts with carbon, respectively.
  •  
25.
  • Ebrahimi, P., et al. (författare)
  • Systematic Optimization of Boron Diffusion for Solar Cell Emitters
  • 2017
  • Ingår i: Journal of Electronic Materials. - : Springer. - 0361-5235 .- 1543-186X. ; 46:7, s. 4236-4241
  • Tidskriftsartikel (refereegranskat)abstract
    • To achieve p-n junctions for n-type solar cells, we have studied BBr3 diffusion in an open tube furnace, varying parameters of the BBr3 diffusion process such as temperature, gas flows, and duration of individual process steps, i.e., predeposition and drive-in. Then, output parameters such as carrier lifetime, sheet resistance, and diffusion profile were measured and statistically analyzed to optimize the emitter characteristics. Statistical analysis (factorial design) was finally employed to systematically explore the effects of the set of input variables on the outputs. The effect of the interactions between inputs was also evaluated for each output, quantified using a two-level factorial method. Temperature and BBr3 flow were found to have the most significant effect on different outputs such as carrier lifetime, junction depth, sheet resistance, and final surface concentration.
  •  
26.
  • Erdal, Suvar, et al. (författare)
  • High frequency performance of SiGeCHBTs with selectively & non-selectively grown collector
  • 2004
  • Ingår i: Physica Scripta. - 0031-8949 .- 1402-4896. ; T114, s. 138-141
  • Tidskriftsartikel (refereegranskat)abstract
    • Two high-frequency heterojunction bipolar transistor (HBT) architectures based on SiGeC have been fabricated and characterized. Different collector designs were applied either by using selective epitaxial growth doped with phosphorous or by non-selective epitaxial growth doped with arsenic. Both designs have a non-selectively deposited SiGeC base doped with boron and a poly-crystalline emitter doped with phosphorous. Both HBT designs exhibit similar electrical characteristics with a peak DC current gain of around 1600 and a BVCEO of 1.8V. The cut-off frequency (f(T)) and maximum frequency of oscillation (f(max)) vary from 40-80 GHz and 15-30 GHz, respectively, depending on lateral design relations. Good high frequency performance for a device with a selectively grown collector is demonstrated for the first time.
  •  
27.
  • Fischer, Andreas C., 1982-, et al. (författare)
  • 3D Free-Form Patterning of Silicon by Ion Implantation, Silicon Deposition, and Selective Silicon Etching
  • 2012
  • Ingår i: Advanced Functional Materials. - : Wiley-VCH Verlagsgesellschaft. - 1616-301X .- 1616-3028. ; 22:19, s. 4004-4008
  • Tidskriftsartikel (refereegranskat)abstract
    • A method for additive layer-by-layer fabrication of arbitrarily shaped 3D silicon micro- and nanostructures is reported. The fabrication is based on alternating steps of chemical vapor deposition of silicon and local implantation of gallium ions by focused ion beam (FIB) writing. In a final step, the defined 3D structures are formed by etching the silicon in potassium hydroxide (KOH), in which the local ion implantation provides the etching selectivity. The method is demonstrated by fabricating 3D structures made of two and three silicon layers, including suspended beams that are 40 nm thick, 500 nm wide, and 4 μm long, and patterned lines that are 33 nm wide.
  •  
28.
  • Fischer, Andreas C., et al. (författare)
  • Layer-by-layer 3D printing of Si micro- and nanostructures by Si deposition, ion implantation and selective Si etching
  • 2012
  • Ingår i: 12th IEEE Conference on Nanotechnology (IEEE-NANO), 2012. - : IEEE conference proceedings. - 9781467321983 ; , s. 1-4
  • Konferensbidrag (refereegranskat)abstract
    • In this paper we report a method for layer-by-layer printing of three-dimensional (3D) silicon (Si) micro- and nanostructures. This fabrication method is based on a sequence of alternating steps of chemical vapor deposition of Si and local implantation of gallium (Ga+) ions by focused ion beam (FIB) writing. The defined 3D structures are formed in a final step by selectively wet etching the non-implanted Si in potassium hydroxide (KOH). We demonstrate the viability of the method by fabricating 2 and 3-layer 3D Si structures, including suspended beams and patterned lines with dimensions on the nm-scale.
  •  
29.
  • Ghandi, R., et al. (författare)
  • Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 334-336
  • Tidskriftsartikel (refereegranskat)abstract
    • In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si1-xGex (x=0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers.
  •  
30.
  • Ghandi, Reza, et al. (författare)
  • High boron incorporation in selective epitaxial growth of SiGe layers
  • 2007
  • Ingår i: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 18:7, s. 747-751
  • Tidskriftsartikel (refereegranskat)abstract
    • Incorporation of high amount of boron in the range of 1 x 10(20)-1 x 10(21) cm(-3) in selective epitaxial growth (SEG) of Si1-xGex (x = 0.15-0.315) layers for recessed or elevated source/drain junctions in CMOS has been studied. The effect of high boron doping on growth rate, Ge content and appearance of defect in the epi-layers was investigated. In this study, integration issues were oriented towards having high layer quality whereas still high amount of boron is implemented and the selectivity of the epitaxy is preserved.
  •  
31.
  • Grahn, J. V., et al. (författare)
  • A low-complexity 62-GHz f(T) SiGe heterojunction bipolar transistor process using differential epitaxy and in situ phosphorus-doped poly-Si emitter at very low thermal budget
  • 2000
  • Ingår i: Solid-State Electronics. - 0038-1101 .- 1879-2405. ; 44:3, s. 549-554
  • Tidskriftsartikel (refereegranskat)abstract
    • A low-complexity SiGe heterojunction bipolar transistor process based on differential epitaxy and in situ phosphorus doped polysilicon emitter technology is described. Silane-based chemical vapor deposition at reduced pressure was used for low-temperature SiGe epitaxy. Following SiGe epitaxy, the process temperature budget was kept very low with 900 degrees C for 10 s as the highest temperature step. A very high current gain of almost 2000 and cut off frequency of 62 GHz were achieved for a uniform 12% Ge profile. The breakdown voltage BVCEO and forward Early voltage were equal to 2.9 and 6.5 V, respectively.
  •  
32.
  • Gylfason, Kristinn B., 1978-, et al. (författare)
  • Process considerations for layer-by-layer 3D patterning of silicon, using ion implantation, silicon deposition, and selective silicon etching
  • 2012
  • Ingår i: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 30:6, s. 06FF05-
  • Tidskriftsartikel (refereegranskat)abstract
    • The authors study suitable process parameters, and the resulting pattern formation, in additive layer-by-layer fabrication of arbitrarily shaped three-dimensional (3D) silicon (Si) micro- and nanostructures. The layer-by-layer fabrication process investigated is based on alternating steps of chemical vapor deposition of Si and local implantation of gallium ions by focused ion beam writing. In a final step, the defined 3D structures are formed by etching the Si in potassium hydroxide, where the ion implantation provides the etching selectivity.
  •  
33.
  • Hamawandi, Bejan, et al. (författare)
  • Electrical properties of sub-100 nm SiGe nanowires
  • 2016
  • Ingår i: Journal of semiconductors. - : Institute of Physics (IOP). - 1674-4926. ; 37:10
  • Tidskriftsartikel (refereegranskat)abstract
    • In this study, the electrical properties of SiGe nanowires in terms of process and fabrication integrity, measurement reliability, width scaling, and doping levels were investigated. Nanowires were fabricated on SiGe-on oxide (SGOI) wafers with thickness of 52 nm and Ge content of 47%. The first group of SiGe wires was initially formed by using conventional I-line lithography and then their size was longitudinally reduced by cutting with a focused ion beam (FIB) to any desired nanometer range down to 60 nm. The other nanowires group was manufactured directly to a chosen nanometer level by using sidewall transfer lithography (STL). It has been shown that the FIB fabrication process allows manipulation of the line width and doping level of nanowires using Ga atoms. The resistance of wires thinned by FIB was 10 times lower than STL wires which shows the possible dependency of electrical behavior on fabrication method.
  •  
34.
  • Haralson, Erik, et al. (författare)
  • HRXRD analysis of SiGeC layers for BiCMOS applications
  • 2004
  • Konferensbidrag (refereegranskat)abstract
    • The use of HRXRD for the monitoring of the dopant activation anneal through the detection of carbon outdiffusion has been demonstrated. The advantages of HRXRD over other measurement techniques for in-line epi-growth monitoring are also discussed. HRXRD reciprocal space mapping was used to study the SiGe layer stability as a function of carbon concentration for vertically scaled layers designed for high performance BiCMOS applications. It was found that as the carbon concentration is increased there is a reduction of boron cluster formation, but an increase in defect density is also observed.
  •  
35.
  • Haralson, Erik, et al. (författare)
  • NiSi integration in a non-selective base SiGeCHBT process
  • 2005
  • Ingår i: Materials Science in Semiconductor Processing. - : Elsevier BV. - 1369-8001 .- 1873-4081. ; 8:03-jan, s. 245-248
  • Tidskriftsartikel (refereegranskat)abstract
    • A self-aligned nickel silicide (salicide) process is integrated into a non-selective base SiGeC HBT process. The device features a unique, fully silicided base region that grows laterally under the emitter pedestal. This Ni(SiGe) formed in this base region was found to have a resistivity of 23-24 muOmega cm. A difference in the silicide thickness between the boron-doped SiGeC extrinsic base region and the in situ phosphorous-doped emitter region is observed and further analyzed and confirmed with a blanket wafer silicide study. The silicided device exhibited a current gain of 64 and HF device performance of 39 and 32 GHz for f(t) and f(MAX), respectively.
  •  
36.
  • Haralson, Erik, et al. (författare)
  • The effect of C on emitter-base design for a single-polysilicon SiGe : C HBT with an IDP emitter
  • 2004
  • Ingår i: Applied Surface Science. - : Elsevier BV. - 0169-4332 .- 1873-5584. ; 224:1-4, s. 330-335
  • Tidskriftsartikel (refereegranskat)abstract
    • A differential epitaxy SiGe:C heterojunction bipolar junction transistor (HBT) design is reported and used to study the effect of carbon on junction formation as well as the effect of lateral design parameters on ac and dc performance. The device exhibits a high current gain (beta) of 1700 and a BVCEO of 1.8 V. The peak cutoff frequency (f(T)) and maximum oscillation frequency (f(MAX)) are 73 and 17 GHz, respectively. The effect of emitter overlap on f(T) was minimal, but it had a strong impact on dc performance. LOCOS opening size strongly impacted both ac and dc performance. In addition, the effect of carbon, base cap thickness, and rapid thermal anneal (RTA) temperature on the emitter-base (E-B) junction formation was studied.
  •  
37.
  • Hosseini, M., et al. (författare)
  • ISFET immunosensor improvement using amine-modified polystyrene nanobeads
  • 2018
  • Ingår i: Journal of Solid State Electrochemistry. - : Springer New York LLC. - 1432-8488 .- 1433-0768. ; 22:10, s. 3161-3169
  • Tidskriftsartikel (refereegranskat)abstract
    • Antigen-based sensing is recognized as a rapid and sensitive analysis among bioresearch groups. The potential of “on-site” analysis in such devices has been accompanied by some problems. Fabrication of silicon compatible and highly sensitive biosensors has been the center of excessive research within the past few years. In this paper, we report fabrication of two types of sensitive liquid oxide semiconductor (LOS) biosensors using nanostructures. These devices have been manufactured and characterized as immunosensors. These two types of sensors have been produced using different platforms for immobilization of proteins; one based on a functionalized silicon dioxide surface by 3-aminopropyltriethoxysilane (APTES) and another on the basis of using 50-nm amine-modified polystyrene nanobeads. The polystyrene platform not only benefits from its nanosize and high surface to volume ratio but also does not need any new protocol than what is already used for traditional immunosensing system. These sensors measure the change of threshold voltage of the semiconductor inversion inside the capacitor due to the bonding of antibodies to the linked peptides on the surface. Measurements showed that the sensitivity of 50-nm polystyrene-based sensor is much more than the oxide-based one. The nanobeads were then chosen to cover the gate of the ISFET for the amplified sensing. The ISFET devices were biased in a subthreshold region to demonstrate the maximum sensitivity to the accumulated charge on the gate. Repeatable results after different stress tests were obtained, which proves the suitability and reliability of the polystyrene nanobead platform for this application. Finally, a calibration curve has been derived that can be used for real sample measurements. The detection limit of 1.0152 μg/ml was calculated for the fabricated sensor. [Figure not available: see fulltext.]. 
  •  
38.
  •  
39.
  • Hu, Cheng, et al. (författare)
  • Characterization of Ni(Si,Ge) films on epitaxial SiGe(100) formed by microwave annealing
  • 2012
  • Ingår i: Applied Physics Letters. - : AIP Publishing. - 0003-6951 .- 1077-3118. ; 101:9, s. 092101-
  • Tidskriftsartikel (refereegranskat)abstract
    • Microwave annealing (MWA) is investigated as an alternative technique to rapid thermal processing with halogen lamp heating (RTP) for low-temperature silicide formation on epitaxially grown Si0.81Ge0.19 layers. Phase formation, resistivity mapping, morphology analysis, and composition evaluation indicate that the formation of low-resistivity NiSi1-xGex by means of MWA occurs at temperatures about 100 degrees C lower than by RTP. Under similar annealing conditions, more severe strain relaxation and defect generation are therefore found in the remaining Si0.81Ge0.19 layers treated by MWA. Although silicidation by microwave heating is in essence also due to thermal effects, details in heating mechanisms differ from RTP.
  •  
40.
  • Hållstedt, Julius, et al. (författare)
  • A robust spacer gate process for deca-nanometer high-frequency MOSFETs
  • 2006
  • Ingår i: Microelectronic Engineering. - : Elsevier BV. - 0167-9317 .- 1873-5568. ; 83:3, s. 434-439
  • Tidskriftsartikel (refereegranskat)abstract
    • This paper, presents a robust spacer technology for definition of deca-nanometer gate length MOSFETs. Conformal deposition, selective anisotropic dry-etching and selective removal of sacrificial layers enabled patterning of an oxide hard mask with deca-nanometer lines combined with structures defined with I-line lithography on a wafer. The spacer gate technology produces negligible topographies on the hard mask and no residual particles could be detected on the wafer. The line-width roughness of 40 nm poly-Si gate lines was 4 nm and the conductance of 200 pm long lines exhibited a standard deviation of 6% across a wafer. nMOSFETs with 45 nm gate length exhibited controlled short-channel effects and the average maximum transconductance in saturation was 449 mu S/mu m with a standard deviation of 3.7% across a wafer. The devices exhibited a cut-off frequency above 100 GHz at a drain current of 315 mu A/mu m. The physical and electrical results show that the employed spacer gate technology is robust and can define deca-nanometer nMOSFETs with high yield and good uniformity.
  •  
41.
  • Hållstedt, Julius, et al. (författare)
  • Application of selective epitaxy for formation of ultra shallow SiGe-based junctions
  • 2004
  • Ingår i: Materials Science & Engineering. - : Elsevier BV. - 0921-5107 .- 1873-4944. ; 114-115:SPEC. ISS, s. 180-183
  • Tidskriftsartikel (refereegranskat)abstract
    • Selective epitaxial growth (SEG) of B-, P- and As-doped Si1-xGex (0.12 < x < 0.26) layers on patterned substrates, aimed for source/drain ultra shallow junctions was investigated. The SiGe layers were deposited selectively on Si surface that is either unprocessed or previously in situ etched by HCl in the same run in a reduced pressure chemical vapor deposition reactor. In these investigations selectivity mode, pattern dependency (loading effect), defect generation and dopant incorporation in SiGe layers have been discussed. It was demonstrated that the growth rate increased in presence of B in SiGe while it decreased for P- and As-doped layers. The amount of Ge was constant for B-doped samples while it increased for As- and P-doped SiGe layers. The epitaxial quality was dependent on the Ge amount, growth rate and dopant concentration. The selectivity mode of the growth was dependent on B partial pressure, however, no effect was observed for P- or As-doping in SiGe layers. A resistivity value of similar to10(-3) Omega cm was obtained for B- and P-doped SiGe layers with optimized growth parameters.
  •  
42.
  • Hållstedt, Julius, et al. (författare)
  • Growth of high quality epitaxial Si1-x-yGexCy layers by using chemical vapor deposition
  • 2004
  • Ingår i: Applied Surface Science. - : Elsevier BV. - 0169-4332 .- 1873-5584. ; 224:01-Apr, s. 46-50
  • Tidskriftsartikel (refereegranskat)abstract
    • The epitaxial quality of non-selective and selective deposition of Si1-x-yGexCy (0 less than or equal to x less than or equal to 0.30, 0 less than or equal to y less than or equal to 0.02) layers has been optimized by using high-resolution reciprocal lattice mapping (HRRLM). The main goal was to incorporate a high amount of substitutional carbon atoms in Si or Si1-xGex matrix without creating defects. The carbon incorporation behavior was explained by chemical and kinetic effects of the reactant gases during epitaxial process. Although high quality epitaxial Si1-yCy layers can be deposited, lower electron mobility compared to Si layers was observed. (C) 2003 Elsevier B.V. All rights reserved.
  •  
43.
  • Hållstedt, Julius, et al. (författare)
  • Hole mobility in ultrathin body SOI pMOSFETs with SiGe or SiGeC channels
  • 2006
  • Ingår i: IEEE Electron Device Letters. - 0741-3106 .- 1558-0563. ; 27:6, s. 466-468
  • Tidskriftsartikel (refereegranskat)abstract
    • The hole mobilities of SiGe and SiGeC channel pMOSFETs fabricated on ultrathin silicon-on-insulator substrates are investigated and compared with reference Si channel devices. The total thickness of the fully depleted Si/SiGe(C)/Si body structure is similar to 25 nm. All devices demonstrated a near ideal subthreshold behavior, and the drive current and mobility were increased with more than 60% for SiGe and SiGeC channels. When comparing SIMOX and UNIBOND substrates, no significant difference could be detected.
  •  
44.
  • Hållstedt, Julius, et al. (författare)
  • Incorporation of boron in SiGe(C) epitaxial layers grown by reduced pressure chemical vapor deposition
  • 2005
  • Ingår i: Materials Science in Semiconductor Processing. - : Elsevier BV. - 1369-8001 .- 1873-4081. ; 8:03-jan, s. 97-101
  • Tidskriftsartikel (refereegranskat)abstract
    • In this paper the strain and electrical properties of epitaxial in situ B-doped (10(18)-10(21) cm(-3)) SiGeC layers (23, 28% Ge and 0, 0.5% C) has been investigated. The growth rate was shown to have a significant increase at 3 x 10(-2) mTorr diborane partial pressure. This point coincides with an enhancement in boron incorporation, which was explained by the strain compensation effect of boron in the highly strained SiGeC layers. In these samples, the total Ge and C content was shown to remain constant with increasing diborane partial pressure. The substitutional/active dopant concentration in SiGe layers was obtained by high-resolution X-ray diffraction by measuring the strain compensation effect of boron. The interaction between C and B in SiGe matrix was also investigated. This was compared with the active dopant concentration obtained from Hall measurements in order to achieve a Hall scattering factor of 0.3-0.7 for dopant concentrations between 3 x 10(18) and 5 x 10(21) cm(-3). The resistivity values of these layers were in the range 2 x 10(-2) -4 x 10(-4) Omega cm. Finally, it was shown that boron atoms in SiGeC layers locate preferably at substitutional sites in contrary to carbon atoms at both substitutional and interstitial sites.
  •  
45.
  • Hållstedt, Julius, 1977- (författare)
  • Integration of epitaxial SiGe(C) layers in advanced CMOS devices
  • 2007
  • Doktorsavhandling (övrigt vetenskapligt/konstnärligt)abstract
    • Heteroepitaxial SiGe(C) layers have attracted immense attention as a material for performance boost in state of the art electronic devices during recent years. Alloying silicon with germanium and carbon add exclusive opportunities for strain and bandgap engineering. This work presents details of epitaxial growth using chemical vapor deposition (CVD), material characterization and integration of SiGeC layers in MOS devices.Non-selective and selective epitaxial growth of Si1-x-yGexCy (0≤x≤0.30, 0≤y≤0.02) layers have been performed and optimized aimed for various metal oxide semiconductor field effect transistor (MOSFET) applications. A comprehensive experimental study was performed to investigate the growth of SiGeC layers. The incorporation of C into the SiGe matrix was shown to be strongly sensitive to the growth parameters. As a consequence, a much smaller epitaxial process window compared to SiGe epitaxy was obtained.Incorporation of high boron concentrations (up to 1×1021 atoms/cm3) in SiGe layers aimed for recessed and/or elevated source/drain (S/D) junctions in pMOSFETs was also studied. HCl was used as Si etchant in the CVD reactor to create the recesses which was followed (in a single run) by selective epitaxy of B-doped SiGe.The issue of pattern dependency behavior of selective epitaxial growth was studied in detail. It was shown that a complete removal of pattern dependency in selective SiGe growth using reduced pressure CVD is not likely. However, it was shown that the pattern dependency can be predicted since it is highly dependent on the local Si coverage of the substrate. The pattern dependency was most sensitive for Si coverage in the range 1-10%. In this range drastic changes in growth rate and composition was observed. The pattern dependency was explained by gas depletion inside the low velocity boundary layer.Ni silicide is commonly used to reduce access resistance in S/D and gate areas of MOSFET devices. Therefore, the effect of carbon and germanium on the formation of NiSiGe(C) was studied. An improved thermal stability of Ni silicide was obtained when C is present in the SiGe layer.Integration of SiGe(C) layers in various MOSFET devices was performed. In order to perform a relevant device research the dimensions of the investigated devices have to be in-line with the current technology nodes. A robust spacer gate technology was developed which enabled stable processing of transistors with gate lengths down to 45 nm.SiGe(C) channels in ultra thin body (UTB) silicon on insulator (SOI) MOSFETs, with excellent performance down to 100 nm gate length was demonstrated. The integration of C in the channel of a MOSFET is interesting for future generations of ultra scaled devices where issues such as short channel effects (SCE), temperature budget, dopant diffusion and mobility will be extremely critical. A clear performance enhancement was obtained for both SiGe and SiGeC channels, which point out the potential of SiGe or SiGeC materials for UTB SOI devices.Biaxially strained-Si (sSi) on SiGe virtual substrates (VS) as mobility boosters in nMOSFETs with gate length down to 80 nm was demonstrated. This concept was thoroughly investigated in terms of performance and leakage of the devices. In-situ doping of the relaxed SiGe was shown to be superior over implantation to suppress the junction leakage. A high channel doping could effectively suppress the source to drain leakage.
  •  
46.
  • Hållstedt, Julius, et al. (författare)
  • Methods to reduce the loading effect in selective and non-selective epitaxial growth of sigec layers
  • 2004
  • Ingår i: Materials Science & Engineering. - : Elsevier BV. - 0921-5107 .- 1873-4944. ; 109:03-jan, s. 122-126
  • Tidskriftsartikel (refereegranskat)abstract
    • Various methods to reduce both global and local loading effect during non-selective and selective epitaxial growth of Si1-x-yGexCy (0.09 less than or equal to x less than or equal to 0.28 and 0 less than or equal to y less than or equal to 0.01) layers have been proposed. Evaluation of the proposed solutions for issues such as defect generation and the possibility for integration in device structures have been performed. The key point in these methods is based on reduction of surface diffusion of the adsorbed species on the oxide. In non-selective epitaxy, this was achieved by introducing a thin silicon polycrystalline seed layer on the oxide prior to Si1-x-yGexCy deposition. The thickness of this seed layer had a crucial role on both the global and local loading effect, and also on the epitaxial quality. Higher carbon content (y greater than or equal to 0.006) in Si1-x-yGexCy layers had no noticeable influence on the loading effect, however, the defect density was clearly increased in these layers. In selective epitaxy case, introducing square polycrystalline Si stripes around the oxide openings acting as diffusion barriers have reduced the loading effect effectively. Meanwhile, using Si nitride stripes showed no visible effect on Si1-x-yGexCy layer profile. Further decrease in loading effect can be performed by increasing the HCl partial pressure during epitaxy. Chemical-mechanical polishing (CMP) was performed to remove the polycrystalline stripe on the oxide.
  •  
47.
  • Hållstedt, Julius, et al. (författare)
  • Noise and mobility characteristics of bulk and fully depleted SOI pMOSFETs using Si or SiGe channels
  • 2006
  • Ingår i: ECS Transactions. - : The Electrochemical Society. - 1938-5862 .- 1938-6737. ; 3:7, s. 67-72
  • Tidskriftsartikel (refereegranskat)abstract
    • State of the art bulk and fully depleted SOI Si and SiGe channel pMOSFET devices with gate lengths ranging from 0.1 to 200 μm were fabricated and analyzed in terms of drain current drivability, mobility and noise performance. In general the SOI devices demonstrated superior mobility and significantly reduced I/f noise compared to bulk devices maintaining a well controlled short channel effects due to the ultra thin body.
  •  
48.
  • Hållstedt, Julius, et al. (författare)
  • Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors
  • 2008
  • Ingår i: Journal of Applied Physics. - : AIP Publishing. - 0021-8979 .- 1089-7550. ; 103:5, s. 054907-
  • Tidskriftsartikel (övrigt vetenskapligt/konstnärligt)abstract
    • This study presents investigations about the physical mechanisms, origin, and methods to control the pattern dependency in selective epitaxial growth of Si1-xGex (x=0.14-0.32) layers. It is shown with a comprehensive experimental study that the local Si coverage of individual chips on patterned wafers is the main parameter for the layer profile in the epitaxial growth. This was explained by the gas depletion of the growth species in the low velocity boundary layer over the wafer. The gas depletion radius around each oxide opening was in the centimeter range which is related to the boundary layer thickness. The results from these experiments were applied to grow Si0.75Ge0.25 layers with B concentration of 4x10(20) cm(-3) selectively for elevated source and drains in fully depleted ultrathin body silicon on insulator p metal oxide semiconductor field effect transistor (p-MOSFET) devices. The epitaxy control was maintained over a wide range of device sizes by optimized process parameters in combination with a wafer pattern design consisting of dummy features causing a uniform gas depletion over the chips on the wafer.
  •  
49.
  • Hållstedt, Julius., et al. (författare)
  • Sidewall transfer lithography for reliable fabrication of nanowires and deca-nanometer MOSFETs
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 117-120
  • Tidskriftsartikel (refereegranskat)abstract
    • Today MOSFET devices are approaching gate lengths on the order of 10 nm. This sets extreme demands on gate patterning technique. This paper describes a side wall transfer lithography technique to pattern decananomeer MOSFETs or nanowires. A correlated line edge roughness leading to a very low line width roughness was demonstrated for the patterned gates. Moreover, the technology was shown to be robust and reproducible with high yield and uniformity suitable for mass fabrication. Finally, integration of the sidewall transfer lithography was performed in various novel MOSFET devices.
  •  
50.
  • Hållstedt, Julius., et al. (författare)
  • Strain and electrical characterization of boron-doped SiGeC layers grown by chemical vapor deposition
  • 2004
  • Ingår i: Physica Scripta. - 0031-8949 .- 1402-4896. ; T114, s. 31-33
  • Tidskriftsartikel (refereegranskat)abstract
    • Incorporation, induced strain and electrical properties of boron and carbon in Si1-x-yGexCy epitaxial layers (x = 0.23 and 0.28 with y = 0 and 0.005) grown by chemical vapour deposition (CVD) have been studied. The boron concentration in the epitaxial layers was in the range of 3 x 10(18)-1 x 10(21) cm(-3). The growth rate enhanced weakly by increasing boron partial pressure up to 0.002 mtorr ( corresponding to 2 x 10(19) cm(-3)) where a significant increase in deposition rate was observed. In SiGeC layers, the active boron concentration was obtained from the strain compensation amount. It was also found that the boron atoms have a tendency to locate at substitutional sites more preferentially compared to carbon. The incorporation of boron in SiGeC layers was clearly improved in the range 2 x 10(19)-3 x 10(20) cm(-3). These investigations also enabled an estimation of the Hall scattering factor of the SiGeC layers. A comparison between our results with the previous theoretical calculations showed a good agreement. This created the possibility to evaluate the drift mobility in our samples.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-50 av 179
Typ av publikation
tidskriftsartikel (137)
konferensbidrag (25)
forskningsöversikt (6)
bokkapitel (5)
doktorsavhandling (3)
annan publikation (1)
visa fler...
licentiatavhandling (1)
patent (1)
visa färre...
Typ av innehåll
refereegranskat (168)
övrigt vetenskapligt/konstnärligt (9)
populärvet., debatt m.m. (2)
Författare/redaktör
Radamson, Henry H. (139)
Östling, Mikael (57)
Radamson, Henry (36)
Kolahdouz, Mohammadr ... (27)
Hållstedt, Julius (25)
Wang, Guilei (20)
visa fler...
Kolahdouz, M. (17)
Wang, G (17)
Hellström, Per-Erik (15)
Zhang, Shi-Li (13)
Zhu, H. (12)
Li, J. (12)
Zhao, Chao (12)
Moeen, Mahdi (12)
Luo, Jun (12)
Noroozi, Mohammad (11)
Li, Junfeng (11)
Isheden, Christian (10)
Zhao, C. (9)
Abedin, Ahmad (9)
Malm, B. Gunnar (9)
Wang, Wenwu (9)
Kong, Z. (9)
Yin, H. (8)
Liu, Jinbiao (8)
Luo, J. (7)
Li, C. (7)
Wise, R (7)
Yin, X. (7)
Kong, Zhenzhen (7)
Ye, Tianchun (7)
Wang, Yong-Bin (7)
Suvar, Erdal (7)
Yin, Huaxiang (7)
Zhang, Y. (6)
Lin, H (6)
Qin, C (6)
Ghandi, Reza (6)
Haralson, Erik (6)
Hamawandi, Bejan (6)
Xiang, Jinjuan (6)
Yang, T. (5)
Hultman, Lars (5)
Jayakumar, Ganesh (5)
Toprak, Muhammet S. (5)
Xiong, Wenjuan (5)
Xu, Buqing (5)
Salemi, Arash (5)
Jamshidi, Asghar (5)
Seger, Johan (5)
visa färre...
Lärosäte
Kungliga Tekniska Högskolan (150)
Mittuniversitetet (28)
Linköpings universitet (8)
Uppsala universitet (6)
Språk
Engelska (178)
Odefinierat språk (1)
Forskningsämne (UKÄ/SCB)
Teknik (101)
Naturvetenskap (48)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy