SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Yin Henry H) "

Sökning: WFRF:(Yin Henry H)

  • Resultat 1-33 av 33
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • 2021
  • swepub:Mat__t
  •  
2.
  • Fullman, N., et al. (författare)
  • Measuring performance on the Healthcare Access and Quality Index for 195 countries and territories and selected subnational locations: a systematic analysis from the Global Burden of Disease Study 2016
  • 2018
  • Ingår i: Lancet. - : Elsevier BV. - 0140-6736. ; 391:10136, s. 2236-2271
  • Tidskriftsartikel (refereegranskat)abstract
    • Background A key component of achieving universal health coverage is ensuring that all populations have access to quality health care. Examining where gains have occurred or progress has faltered across and within countries is crucial to guiding decisions and strategies for future improvement. We used the Global Burden of Diseases, Injuries, and Risk Factors Study 2016 (GBD 2016) to assess personal health-care access and quality with the Healthcare Access and Quality (HAQ) Index for 195 countries and territories, as well as subnational locations in seven countries, from 1990 to 2016. Methods Drawing from established methods and updated estimates from GBD 2016, we used 32 causes from which death should not occur in the presence of effective care to approximate personal health-care access and quality by location and over time. To better isolate potential effects of personal health-care access and quality from underlying risk factor patterns, we risk-standardised cause-specific deaths due to non-cancers by location-year, replacing the local joint exposure of environmental and behavioural risks with the global level of exposure. Supported by the expansion of cancer registry data in GBD 2016, we used mortality-to-incidence ratios for cancers instead of risk-standardised death rates to provide a stronger signal of the effects of personal health care and access on cancer survival. We transformed each cause to a scale of 0-100, with 0 as the first percentile (worst) observed between 1990 and 2016, and 100 as the 99th percentile (best); we set these thresholds at the country level, and then applied them to subnational locations. We applied a principal components analysis to construct the HAQ Index using all scaled cause values, providing an overall score of 0-100 of personal health-care access and quality by location over time. We then compared HAQ Index levels and trends by quintiles on the Socio-demographic Index (SDI), a summary measure of overall development. As derived from the broader GBD study and other data sources, we examined relationships between national HAQ Index scores and potential correlates of performance, such as total health spending per capita. Findings In 2016, HAQ Index performance spanned from a high of 97.1 (95% UI 95.8-98.1) in Iceland, followed by 96.6 (94.9-97.9) in Norway and 96.1 (94.5-97.3) in the Netherlands, to values as low as 18.6 (13.1-24.4) in the Central African Republic, 19.0 (14.3-23.7) in Somalia, and 23.4 (20.2-26.8) in Guinea-Bissau. The pace of progress achieved between 1990 and 2016 varied, with markedly faster improvements occurring between 2000 and 2016 for many countries in sub-Saharan Africa and southeast Asia, whereas several countries in Latin America and elsewhere saw progress stagnate after experiencing considerable advances in the HAQ Index between 1990 and 2000. Striking subnational disparities emerged in personal health-care access and quality, with China and India having particularly large gaps between locations with the highest and lowest scores in 2016. In China, performance ranged from 91.5 (89.1-936) in Beijing to 48.0 (43.4-53.2) in Tibet (a 43.5-point difference), while India saw a 30.8-point disparity, from 64.8 (59.6-68.8) in Goa to 34.0 (30.3-38.1) in Assam. Japan recorded the smallest range in subnational HAQ performance in 2016 (a 4.8-point difference), whereas differences between subnational locations with the highest and lowest HAQ Index values were more than two times as high for the USA and three times as high for England. State-level gaps in the HAQ Index in Mexico somewhat narrowed from 1990 to 2016 (from a 20.9-point to 17.0-point difference), whereas in Brazil, disparities slightly increased across states during this time (a 17.2-point to 20.4-point difference). Performance on the HAQ Index showed strong linkages to overall development, with high and high-middle SDI countries generally having higher scores and faster gains for non-communicable diseases. Nonetheless, countries across the development spectrum saw substantial gains in some key health service areas from 2000 to 2016, most notably vaccine-preventable diseases. Overall, national performance on the HAQ Index was positively associated with higher levels of total health spending per capita, as well as health systems inputs, but these relationships were quite heterogeneous, particularly among low-to-middle SDI countries. Interpretation GBD 2016 provides a more detailed understanding of past success and current challenges in improving personal health-care access and quality worldwide. Despite substantial gains since 2000, many low-SDI and middle-SDI countries face considerable challenges unless heightened policy action and investments focus on advancing access to and quality of health care across key health services, especially non-communicable diseases. Stagnating or minimal improvements experienced by several low-middle to high-middle SDI countries could reflect the complexities of re-orienting both primary and secondary health-care services beyond the more limited foci of the Millennium Development Goals. Alongside initiatives to strengthen public health programmes, the pursuit of universal health coverage upon improving both access and quality worldwide, and thus requires adopting a more comprehensive view and subsequent provision of quality health care for all populations. Copyright (C) 2018 The Author(s). Published by Elsevier Ltd. This is an Open Access article under the CC BY 4.0 license.
  •  
3.
  •  
4.
  • Klionsky, Daniel J., et al. (författare)
  • Guidelines for the use and interpretation of assays for monitoring autophagy
  • 2012
  • Ingår i: Autophagy. - : Informa UK Limited. - 1554-8635 .- 1554-8627. ; 8:4, s. 445-544
  • Forskningsöversikt (refereegranskat)abstract
    • In 2008 we published the first set of guidelines for standardizing research in autophagy. Since then, research on this topic has continued to accelerate, and many new scientists have entered the field. Our knowledge base and relevant new technologies have also been expanding. Accordingly, it is important to update these guidelines for monitoring autophagy in different organisms. Various reviews have described the range of assays that have been used for this purpose. Nevertheless, there continues to be confusion regarding acceptable methods to measure autophagy, especially in multicellular eukaryotes. A key point that needs to be emphasized is that there is a difference between measurements that monitor the numbers or volume of autophagic elements (e.g., autophagosomes or autolysosomes) at any stage of the autophagic process vs. those that measure flux through the autophagy pathway (i.e., the complete process); thus, a block in macroautophagy that results in autophagosome accumulation needs to be differentiated from stimuli that result in increased autophagic activity, defined as increased autophagy induction coupled with increased delivery to, and degradation within, lysosomes (in most higher eukaryotes and some protists such as Dictyostelium) or the vacuole (in plants and fungi). In other words, it is especially important that investigators new to the field understand that the appearance of more autophagosomes does not necessarily equate with more autophagy. In fact, in many cases, autophagosomes accumulate because of a block in trafficking to lysosomes without a concomitant change in autophagosome biogenesis, whereas an increase in autolysosomes may reflect a reduction in degradative activity. Here, we present a set of guidelines for the selection and interpretation of methods for use by investigators who aim to examine macroautophagy and related processes, as well as for reviewers who need to provide realistic and reasonable critiques of papers that are focused on these processes. These guidelines are not meant to be a formulaic set of rules, because the appropriate assays depend in part on the question being asked and the system being used. In addition, we emphasize that no individual assay is guaranteed to be the most appropriate one in every situation, and we strongly recommend the use of multiple assays to monitor autophagy. In these guidelines, we consider these various methods of assessing autophagy and what information can, or cannot, be obtained from them. Finally, by discussing the merits and limits of particular autophagy assays, we hope to encourage technical innovation in the field.
  •  
5.
  • Kanoni, Stavroula, et al. (författare)
  • Implicating genes, pleiotropy, and sexual dimorphism at blood lipid loci through multi-ancestry meta-analysis.
  • 2022
  • Ingår i: Genome biology. - : Springer Science and Business Media LLC. - 1474-760X .- 1465-6906 .- 1474-7596. ; 23:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Genetic variants within nearly 1000 loci are known to contribute to modulation of blood lipid levels. However, the biological pathways underlying these associations are frequently unknown, limiting understanding of these findings and hindering downstream translational efforts such as drug target discovery.To expand our understanding of the underlying biological pathways and mechanisms controlling blood lipid levels, we leverage a large multi-ancestry meta-analysis (N=1,654,960) of blood lipids to prioritize putative causal genes for 2286 lipid associations using six gene prediction approaches. Using phenome-wide association (PheWAS) scans, we identify relationships of genetically predicted lipid levels to other diseases and conditions. We confirm known pleiotropic associations with cardiovascular phenotypes and determine novel associations, notably with cholelithiasis risk. We perform sex-stratified GWAS meta-analysis of lipid levels and show that 3-5% of autosomal lipid-associated loci demonstrate sex-biased effects. Finally, we report 21 novel lipid loci identified on the X chromosome. Many of the sex-biased autosomal and X chromosome lipid loci show pleiotropic associations with sex hormones, emphasizing the role of hormone regulation in lipid metabolism.Taken together, our findings provide insights into the biological mechanisms through which associated variants lead to altered lipid levels and potentially cardiovascular disease risk.
  •  
6.
  • Yin, X., et al. (författare)
  • Vertical Sandwich Gate-All-Around Field-Effect Transistors with Self-Aligned High-k Metal Gates and Small Effective-Gate-Length Variation
  • 2020
  • Ingår i: IEEE Electron Device Letters. - : Institute of Electrical and Electronics Engineers Inc.. - 0741-3106 .- 1558-0563. ; 41:1, s. 8-11
  • Tidskriftsartikel (refereegranskat)abstract
    • A new type of vertical nanowire (NW)/nanosheet (NS) field-effect transistors (FETs), termed vertical sandwich gate-all-around (GAA) FETs (VSAFETs), is presented in this work. Moreover, an integration flow that is compatible with processes used in the mainstream industry is proposed for the VSAFETs. Si/SiGe epitaxy, isotropic quasi-atomic-layer etching (qALE), and gate replacement were used to fabricate pVSAFETs for the first time. Vertical GAA FETs with self-aligned high-k metal gates and a small effective-gate-length variation were obtained. Isotropic qALE, including Si-selective etching of SiGe, was developed to control the diameter/thickness of the NW/NS channels. NWs with a diameter of 10 nm and NSs with a thickness of 20 nm were successfully fabricated, and good device characteristics were obtained. Finally, the device performance was investigated and is discussed in this work. © 2019 IEEE.
  •  
7.
  •  
8.
  • Satizabal, Claudia L., et al. (författare)
  • Genetic architecture of subcortical brain structures in 38,851 individuals
  • 2019
  • Ingår i: Nature Genetics. - : Nature Publishing Group. - 1061-4036 .- 1546-1718. ; 51:11, s. 1624-
  • Tidskriftsartikel (refereegranskat)abstract
    • Subcortical brain structures are integral to motion, consciousness, emotions and learning. We identified common genetic variation related to the volumes of the nucleus accumbens, amygdala, brainstem, caudate nucleus, globus pallidus, putamen and thalamus, using genome-wide association analyses in almost 40,000 individuals from CHARGE, ENIGMA and UK Biobank. We show that variability in subcortical volumes is heritable, and identify 48 significantly associated loci (40 novel at the time of analysis). Annotation of these loci by utilizing gene expression, methylation and neuropathological data identified 199 genes putatively implicated in neurodevelopment, synaptic signaling, axonal transport, apoptosis, inflammation/infection and susceptibility to neurological disorders. This set of genes is significantly enriched for Drosophila orthologs associated with neurodevelopmental phenotypes, suggesting evolutionarily conserved mechanisms. Our findings uncover novel biology and potential drug targets underlying brain development and disease.
  •  
9.
  • Li, J., et al. (författare)
  • Study of silicon nitride inner spacer formation in process of gate-all-around nano-transistors
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Stacked SiGe/Si structures are widely used as the units for gate-all-around nanowire transistors (GAA NWTs) which are a promising candidate beyond fin field effective transistors (FinFETs) technologies in near future. These structures deal with a several challenges brought by the shrinking of device dimensions. The preparation of inner spacers is one of the most critical processes for GAA nano-scale transistors. This study focuses on two key processes: Inner spacer film conformal deposition and accurate etching. The results show that low pressure chemical vapor deposition (LPCVD) silicon nitride has a good film filling effect; a precise and controllable silicon nitride inner spacer structure is prepared by using an inductively coupled plasma (ICP) tool and a new gas mixtures of CH2F2/CH4/O2/Ar. Silicon nitride inner spacer etch has a high etch selectivity ratio, exceeding 100:1 to Si and more than 30:1 to SiO2. High anisotropy with an excellent vertical/lateral etch ratio exceeding 80:1 is successfully demonstrated. It also provides a solution to the key process challenges of nano-transistors beyond 5 nm node. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
10.
  • Li, J., et al. (författare)
  • Study of selective isotropic etching Si1−xGex in process of nanowire transistors
  • 2020
  • Ingår i: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 31:1, s. 134-143
  • Tidskriftsartikel (refereegranskat)abstract
    • On approach towards the end of technology roadmap, a revolutionary approach towards the nanowire transistors is favorable due to the full control of carrier transport. The transistor design moves toward vertically or laterally stacked Gate-All-Around (GAA) where Si or SiGe can be used as channel material. This study presents a novel isotropic inductively coupled plasma (ICP) dry etching of Si1−xGex (0.10 ≤ x ≤ 0.28) in SiGe/Si multilayer structures (MLSs) with high selectivity to Si, SiO2, Si3N4 and SiON which can be applied in advanced 3D transistors and Micro-Electro-Mechanical System (MEMS) in future. The profile of SiGe etching for different thicknesses, compositions and locations in MLSs using dry or wet etch have been studied. A special care has been spent for layer quality of Si, strain relaxation of SiGe layers as well as residual contamination during the etching. In difference with dry etching methods (downstream remote plasma), the conventional ICP source in situ is used where CF4/O2/He gas mixture was used as the etching gas to obtain higher selectivity. Based on the reliability of ICP technique a range of etching rate 25–50 nm/min can be obtained for accurate isotropic etching of Si1−xGex, to form cavity in advanced 3D transistor processes in future.
  •  
11.
  • Qin, C., et al. (författare)
  • Study of sigma-shaped source/drain recesses for embedded-SiGe pMOSFETs
  • 2017
  • Ingår i: Microelectronic Engineering. - : Elsevier. - 0167-9317 .- 1873-5568. ; 181, s. 22-28
  • Tidskriftsartikel (refereegranskat)abstract
    • In this paper, the manufacturing process and formation mechanism study of sigma-shaped source/drain (S/D) recess in 28 nm node pMOSFETs and beyond have been presented. The mechanism of forming sigma-shaped recesses included a detailed analysis how to apply the dry and wet etching to shape the recess in a controlled way. The key factors in etching parameters were identified and optimized. Simulations of strain distributions in the channel region of the devices with selectively grown Si0.65Ge0.35 on different S/D recess shapes were carried out and the results were used as feedback to find out a trade-off between maximum strain in the channel region of the transistors and low short channel effect. Finally, guidelines for designing the shape of recess and for tuning the etching parameters for high mobility transistors have been proposed.
  •  
12.
  • Li, C., et al. (författare)
  • Growth and Selective Etch of Phosphorus-Doped Silicon/Silicon–Germanium Multilayers Structures for Vertical Transistors Application
  • 2020
  • Ingår i: Nanoscale Research Letters. - : Springer. - 1931-7573 .- 1556-276X. ; 15:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Vertical gate-all-around field-effect transistors (vGAAFETs) are considered as the potential candidates to replace FinFETs for advanced integrated circuit manufacturing technology at/beyond 3-nm technology node. A multilayer (ML) of Si/SiGe/Si is commonly grown and processed to form vertical transistors. In this work, the P-incorporation in Si/SiGe/Si and vertical etching of these MLs followed by selective etching SiGe in lateral direction to form structures for vGAAFET have been studied. Several strategies were proposed for the epitaxy such as hydrogen purging to deplete the access of P atoms on Si surface, and/or inserting a Si or Si0.93Ge0.07 spacers on both sides of P-doped Si layers, and substituting SiH4 by SiH2Cl2 (DCS). Experimental results showed that the segregation and auto-doping could also be relieved by adding 7% Ge to P-doped Si. The structure had good lattice quality and almost had no strain relaxation. The selective etching between P-doped Si (or P-doped Si0.93Ge0.07) and SiGe was also discussed by using wet and dry etching. The performance and selectivity of different etching methods were also compared. This paper provides knowledge of how to deal with the challenges or difficulties of epitaxy and etching of n-type layers in vertical GAAFETs structure. © 2020, The Author(s).
  •  
13.
  • Qin, C., et al. (författare)
  • A novel method for source/drain ion implantation for 20 nm FinFETs and beyond
  • 2020
  • Ingår i: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 31, s. 98-104
  • Tidskriftsartikel (refereegranskat)abstract
    • This paper presents a method to improve source/drain extension (SDE) ion implantation (I/I) process for sub-20 nm node FinFETs with no extra step in transistor process. Traditionally, SDE I/I process needs a large implant tilt angle and a high dose to obtain a heavy and conformal doping. However, this process leads to implantation shadow effects and Si-fin amorphization. These drawbacks can be removed in our new approach when SDE I/I is modified and moved after S/D epitaxy process (SDE I/I-last). Because of the facet planes of the SiGe layer, the ions are allowed to be implanted with small tilt. This is helpful to avoid shadow effects of implantation and to keep the low defect density in the S/D. As a result, the external resistance (R EXTRNL ) is not high and the strain relaxation is minor in S/D epitaxy layer. Finally, p-type FinFETs with 25 nm gate length with SDE I/I-last are fabricated. These new FinFETs demonstrate ~ 50% on-state current (I ON ) improvement compared to those transistors fabricated by traditional method.
  •  
14.
  • Radamson, Henry H., et al. (författare)
  • Optimization of Selective Growth of SiGe for Source/Drain in 14nm and beyond Nodes FinFETs
  • 2017
  • Ingår i: International Journal of High Speed Electronics and Systems. - : World Scientific Publishing Co. Pte Ltd. - 0129-1564. ; 26:1-2
  • Tidskriftsartikel (refereegranskat)abstract
    • In this work, optimization of selective epitaxy growth (SEG) of SiGe layers on source/drain (S/D) areas in 14nm node FinFETs with high-k & metal gate has been presented. The Ge content in epilayers was in range of 30%-40% with boron concentration of 1-3 × 1020 cm-3. The strain distribution in the transistor structure due to SiGe as stressor material in S/D was simulated and these results were used as feedback to design the layer profile. The epitaxy parameters were optimized to improve the layer quality and strain amount of SiGe layers. The in-situ cleaning of Si fins was crucial to grow high quality layers and a series of experiments were performed in range of 760-825 °C. The results demonstrated that the thermal budget has to be within 780-800 °C in order to remove the native oxide but also to avoid any harm to the shape of Si fins. The Ge content in SiGe layers was directly determined from the misfit parameters obtained from reciprocal space mappings using synchrotron radiation. Atomic layer deposition (ALD) technique was used to deposit HfO2 as high-k dielectric and B-doped W layer as metal gate to fill the gate trench. This type of ALD metal gate has decent growth rate, low resistivity and excellent capability to fill the gate trench with high aspect-ratio. Finally, the electrical characteristics of fabricated FinFETs were demonstrated and discussed.
  •  
15.
  • Radamson, Henry H., et al. (författare)
  • Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs
  • 2017
  • Ingår i: Scaling and Integration of High-Speed Electronics and Optomechanical Systems. - : World Scientific Pub Co Pte Ltd. ; , s. 99-107
  • Bokkapitel (övrigt vetenskapligt/konstnärligt)abstract
    • In this work, optimization of selective epitaxy growth (SEG) of SiGe layers on source/drain (S/D) areas in 14nm node FinFETs with high-k and metal gate has been presented. The Ge content in epilayers was in range of 30%-40% with boron concentration of 1-3 × 1020 cm-3. The strain distribution in the transistor structure due to SiGe as stressor material in S/D was simulated and these results were used as feedback to design the layer profile. The epitaxy parameters were optimized to improve the layer quality and strain amount of SiGe layers. The in-situ cleaning of Si fins was crucial to grow high quality layers and a series of experiments were performed in range of 760-825 °C. The results demonstrated that the thermal budget has to be within 780-800 °C in order to remove the native oxide but also to avoid any harm to the shape of Si fins. The Ge content in SiGe layers was directly determined from the misfit parameters obtained from reciprocal space mappings using synchrotron radiation. Atomic layer deposition (ALD) technique was used to deposit HfO2 as high-k dielectric and B-doped W layer as metal gate to fill the gate trench. This type of ALD metal gate has decent growth rate, low resistivity and excellent capability to fill the gate trench with high aspect-ratio. Finally, the electrical characteristics of fabricated FinFETs were demonstrated and discussed. 
  •  
16.
  • van de Vegte, Yordi, et al. (författare)
  • Genetic insights into resting heart rate and its role in cardiovascular disease
  • 2023
  • Ingår i: Nature Communications. - : Springer Nature. - 2041-1723. ; 14:1
  • Tidskriftsartikel (refereegranskat)abstract
    • The genetics and clinical consequences of resting heart rate (RHR) remain incompletely understood. Here, the authors discover new genetic variants associated with RHR and find that higher genetically predicted RHR decreases risk of atrial fibrillation and ischemic stroke. Resting heart rate is associated with cardiovascular diseases and mortality in observational and Mendelian randomization studies. The aims of this study are to extend the number of resting heart rate associated genetic variants and to obtain further insights in resting heart rate biology and its clinical consequences. A genome-wide meta-analysis of 100 studies in up to 835,465 individuals reveals 493 independent genetic variants in 352 loci, including 68 genetic variants outside previously identified resting heart rate associated loci. We prioritize 670 genes and in silico annotations point to their enrichment in cardiomyocytes and provide insights in their ECG signature. Two-sample Mendelian randomization analyses indicate that higher genetically predicted resting heart rate increases risk of dilated cardiomyopathy, but decreases risk of developing atrial fibrillation, ischemic stroke, and cardio-embolic stroke. We do not find evidence for a linear or non-linear genetic association between resting heart rate and all-cause mortality in contrast to our previous Mendelian randomization study. Systematic alteration of key differences between the current and previous Mendelian randomization study indicates that the most likely cause of the discrepancy between these studies arises from false positive findings in previous one-sample MR analyses caused by weak-instrument bias at lower P-value thresholds. The results extend our understanding of resting heart rate biology and give additional insights in its role in cardiovascular disease development.
  •  
17.
  • van Setten, Jessica, et al. (författare)
  • PR interval genome-wide association meta-analysis identifies 50 loci associated with atrial and atrioventricular electrical activity
  • 2018
  • Ingår i: Nature Communications. - : NATURE PUBLISHING GROUP. - 2041-1723. ; 9
  • Tidskriftsartikel (refereegranskat)abstract
    • Electrocardiographic PR interval measures atrio-ventricular depolarization and conduction, and abnormal PR interval is a risk factor for atrial fibrillation and heart block. Our genomewide association study of over 92,000 European-descent individuals identifies 44 PR interval loci (34 novel). Examination of these loci reveals known and previously not-yet-reported biological processes involved in cardiac atrial electrical activity. Genes in these loci are overrepresented in cardiac disease processes including heart block and atrial fibrillation. Variants in over half of the 44 loci were associated with atrial or blood transcript expression levels, or were in high linkage disequilibrium with missense variants. Six additional loci were identified either by meta-analysis of similar to 105,000 African and European-descent individuals and/or by pleiotropic analyses combining PR interval with heart rate, QRS interval, and atrial fibrillation. These findings implicate developmental pathways, and identify transcription factors, ionchannel genes, and cell-junction/cell-signaling proteins in atrio-ventricular conduction, identifying potential targets for drug development.
  •  
18.
  • Wang, G., et al. (författare)
  • Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs
  • 2015
  • Ingår i: Solid-State Electronics. - : Elsevier. - 0038-1101 .- 1879-2405. ; 114, s. 43-48
  • Tidskriftsartikel (refereegranskat)abstract
    • Pattern dependency of selective epitaxy of Si1-xGex (0.20 ≤ x ≤ 0.45) grown in recessed source/drain regions of 22 nm pMOSFETs has been studied. A complete substrate mapping over 200 mm wafers was performed and the transistors' characteristics were measured. The designed SiGe profile included a layer with Ge content of 40% at the bottom of recess (40 nm) and capped with 20% Ge as a sacrificial layer (20 nm) for silicide formation. The induced strain in the channel was simulated before and after silicidation. The variation of strain was localized and its effect on the transistors' performance was determined. The chips had a variety of SiGe profile depending on their distance (closest, intermediate and central) from the edge of the 200 mm wafer. SiGe layers with poor epi-quality were observed when the coverage of exposed Si of the chip was below 1%. This causes high Ge contents with layer thicknesses above the critical thickness.
  •  
19.
  • Wang, G., et al. (författare)
  • Integration of selective epitaxial growth of SiGe/Ge layers in 14nm node FinFETs
  • 2016
  • Ingår i: ECS Transactions. - : Electrochemical Society Inc.. - 1938-5862 .- 1938-6737. - 9781607685395 ; , s. 273-279
  • Konferensbidrag (refereegranskat)abstract
    • In this study, the process integration of SiGe selective epitaxy on source/drain and SiGe/Ge bilayers selectively epitaxy on replacement Si channel regions for 14 nm node FinFETs has been presented. The epi-quality, layer profile and strain amount of the selectively grown SiGe and Ge layers were also investigated by means of various characterization tools. A series of prebaking experiments were performed for different temperatures in order to in-situ clean the Si fins prior to the SiGe S/D epitaxy. It was also found that a SiGe layer with graded Ge content was deposited as the strain relaxed buffer (SRB) layer in the channel trench prior to the Ge layer filling in the small trenches to make the void defect free.
  •  
20.
  • Wang, G. L., et al. (författare)
  • Optimization of SiGe selective epitaxy for source/drain engineering in 22nm node complementary metal-oxide semiconductor (CMOS)
  • 2013
  • Ingår i: Journal of Applied Physics. - : AIP Publishing. - 0021-8979 .- 1089-7550. ; 114:12, s. 123511-
  • Tidskriftsartikel (refereegranskat)abstract
    • SiGe has been widely used for source/drain (S/D) engineering in pMOSFETs to enhance channel mobility. In this study, selective Si1-xGex growth (0.25 <= x <= 0.35) with boron concentration of 1-3 x 10(20) cm(-3) in the process for 22 nm node complementary metal-oxide semiconductor (CMOS) has been investigated and optimized. The growth parameters were carefully tuned to achieve deposition of high quality and highly strained material. The thermal budget was decreased to 800 degrees C to suppress dopant diffusion, to minimize Si loss in S/D recesses, and to preserve the S/D recess shape. Two layers of Si1-xGex were deposited: a bottom layer with high Ge content (x = 0.35) which filled the recess and a cap layer with low Ge content (x = 0.25) which was elevated in the S/D regions. The elevated SiGe cap layer was intended to be consumed during the Ni-silicidation process in order to avoid strain reduction in the channel region arising from strain relaxation in SiGe S/D. In this study, a kinetic gas model was also applied to predict the pattern dependency of the growth and to determine the epi-profile in different transistor arrays. The input parameters include growth temperature, partial pressures of reactant gases, and chip layout. By using this model, the number of test wafers for epitaxy experiments can be decreased significantly. When the epitaxy process parameters can be readily predicted by the model for epi-profile control in an advanced chip design, fast and cost-effective process development can be achieved.
  •  
21.
  • Li, C., et al. (författare)
  • Selective digital etching of silicon-germanium using nitric and hydrofluoric acids
  • 2020
  • Ingår i: ACS Applied Materials and Interfaces. - : American Chemical Society. - 1944-8244 .- 1944-8252. ; 12:42, s. 48170-48178
  • Tidskriftsartikel (refereegranskat)abstract
    • A digital etching method was proposed to achieve excellent control of etching depth. The digital etching characteristics of p+-Si and Si0.7Ge0.3 using a combination of HNO3 oxidation and buffered oxide etching oxide removal processes were investigated. Experimental results showed that oxidation saturates as time goes on because of low activation energy and its diffusion-limited characteristic. An oxidation model was developed to describe the wet oxidation process with nitric acid. The model was calibrated with experimental data, and the oxidation saturation time, final oxide thickness, and selectivity between Si0.7Ge0.3 and p+-Si were obtained. In Si0.7Ge0.3/p+-Si stacks, the saturated relative etched depth per cycle was 0.5 nm (four monolayers), and variation between experiments was about 4% after saturation. A corrected selectivity calculation formula was also proposed, and the calculated selectivity was 3.7-7.7 for different oxidation times, which was the same as the selectivity obtained from our oxidation model. The proposed model can be used to analyze process variations and repeatability, and it can provide credible guidance for the design of other wet digital etching experiments. © 2020 American Chemical Society.
  •  
22.
  • Li, Junjie, et al. (författare)
  • A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm
  • 2020
  • Ingår i: Materials. - : MDPI AG. - 1996-1944. ; 13:3
  • Tidskriftsartikel (refereegranskat)abstract
    • Semiconductor nanowires have great application prospects in field effect transistors and sensors. In this study, the process and challenges of manufacturing vertical SiGe/Si nanowire array by using the conventional lithography and novel dry atomic layer etching technology. The final results demonstrate that vertical nanowires with a diameter less than 20 nm can be obtained. The diameter of nanowires is adjustable with an accuracy error less than 0.3 nm. This technology provides a new way for advanced 3D transistors and sensors.
  •  
23.
  • Qin, Changliang, et al. (författare)
  • Process optimizations to recessed e-SiGe source/drain for performance enhancement in 22 nm all-last high-k/metal-gate pMOSFETs
  • 2016
  • Ingår i: Solid-State Electronics. - : Elsevier. - 0038-1101 .- 1879-2405. ; 123, s. 38-43
  • Tidskriftsartikel (refereegranskat)abstract
    • In this paper, the technology of recessed embedded SiGe (e-SiGe) source/drain (S/D) module is optimized for the performance enhancement in 22 nm all-last high-k/metal-gate (HK/MG) pMOSFETs. Different Si recess-etch techniques were applied in S/D regions to increase the strain in the channel and subsequently, improve the performance of transistors. A new recess-etch method consists of a two-step etch method is proposed. This process is an initial anisotropic etch for the formation of shallow trench followed by a final isotropic etch. By introducing the definition of the upper edge distance (D) between the recessed S/D region and the channel region, the process advantage of the new approach is clearly presented. It decreases the value of D than those by conventional one-step isotropic or anisotropic etch of Si. Therefore, the series resistance is reduced and the channel strain is increased, which confirmed by the simulation results. The physical reason of D reducing is analyzed in brief. Applying this recess design, the implant conditions for S/D extension (SDE) are also optimized by using a two-step implantation of BF2 in SiGe layers. The overlap space between doping junction and channel region has great effect on the device's performance. The designed implantation profile decreases the overlap space while keeps a shallow junction depth for a controllable short channel effect. The channel resistance as well as the transfer ID-VG curves varying with different process conditions are demonstrated. It shows the drive current of the device with the optimized SDE implant condition and Si recess-etch process is obviously improved. The change trend of on-off current distributions extracted from a series of devices confirmed the conclusions. This study provides a useful guideline for developing high performance strained PMOS SiGe technology.
  •  
24.
  • Wang, Guilei, et al. (författare)
  • Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS)
  • 2020
  • Ingår i: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 31, s. 26-33
  • Tidskriftsartikel (refereegranskat)abstract
    • In this study, the integration of Si 1−x Ge x (50% ≤ x ≤ 60%) selective epitaxy on source/drain regions in 10 nm node FinFET has been presented. One of the major process issues was the sensitivity of Si-fins’ shape to ex- and in-situ cleaning prior to epitaxy. For example, the sharpness of Si-fins could easily be damaged during the wafer washing. The results showed that a DHF dip before the normal cleaning, was essential to clean the Si-fins while in-situ annealing in range of 780–800 °C was needed to remove the native oxide for high epitaxial quality. Because of smallness of fins, the induced strain by SiGe could not be directly measured by X-ray beam in a typical XRD tool in the lab or even in a Synchrotron facility. Further analysis using nano-beam diffraction technique in high-resolution transmission electron microscope also failed to provide information about strain in the FinFET structure. Therefore, the induced strain by SiGe was simulated by technology computer-aided design program and the Ge content was measured by using energy dispersive spectroscopy. Simulation results showed 0.8, 1 and 1.3 GPa for Ge content of 40%, 50% and 60%, respectively. A kinetic gas model was also introduced to predict the SiGe profile on Si-fins with sharp triangular shape. The input parameters in the model includes growth temperature, partial pressure of the reactant gases and the exposed Si coverage in the chip area.
  •  
25.
  • Xie, L., et al. (författare)
  • Strained Si0.2ge0.8/ge multilayer stacks epitaxially grown on a low-/high-temperature ge buffer layer and selective wet-etching of germanium
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:9, s. 1-12
  • Tidskriftsartikel (refereegranskat)abstract
    • With the development of new designs and materials for nano-scale transistors, vertical Gate-All-Around Field Effect Transistors (vGAAFETs) with germanium as channel materials have emerged as excellent choices. The driving forces for this choice are the full control of the short channel effect and the high carrier mobility in the channel region. In this work, a novel process to form the structure for a VGAA transistor with a Ge channel is presented. The structure consists of multilayers of Si0.2Ge0.8/Ge grown on a Ge buffer layer grown by the reduced pressure chemical vapor deposition technique. The Ge buffer layer growth consists of low-temperature growth at 400 °C and high-temperature growth at 650 °C. The impact of the epitaxial quality of the Ge buffer on the defect density in the Si0.2Ge0.8/Ge stack has been studied. In this part, different thicknesses (0.6, 1.2 and 2.0 µm) of the Ge buffer on the quality of the Si0.2Ge0.8/Ge stack structure have been investigated. The thicker Ge buffer layer can improve surface roughness. A high-quality and atomically smooth surface with RMS 0.73 nm of the Si0.2Ge0.8/Ge stack structure can be successfully realized on the 1.2 µm Ge buffer layer. After the epitaxy step, the multilayer is vertically dry-etched to form a fin where the Ge channel is selectively released to SiGe by using wet-etching in HNO3 and H2O2 solution at room temperature. It has been found that the solution concentration has a great effect on the etch rate. The relative etching depth of Ge is linearly dependent on the etching time in H2O2 solution. The results of this study emphasize the selective etching of germanium and provide the experimental basis for the release of germanium channels in the future. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
26.
  • Yin, X., et al. (författare)
  • Study of Isotropic and Si-Selective Quasi Atomic Layer Etching of Si1-xGex
  • 2020
  • Ingår i: ECS Journal of Solid State Science and Technology. - : Institute of Physics Publishing. - 2162-8769 .- 2162-8777. ; 9:3
  • Tidskriftsartikel (refereegranskat)abstract
    • The lateral and vertical gate-all-around (GAA) field-effect transistors are considered the most promising candidates for next generation logic device at and beyond 3-nm technology node. SiGe plays an important role in these devices as the sacrificial layer or channel material and needs isotropic etching. In this paper, an advanced etching process termed quasi atomic layer etching (qALE) is developed with advantages of controllable etch rate and atomically smooth surfaces. The qALE of SiGe is based on wet chemical etching, in which H2O2 is applied to oxidate the surface with cyclic manner, and diluted buffered oxide etchant (dBOE) is applied to remove the oxide. The profiles of SiGe qALE for quasi-self-limited behavior, etch rate and the effect in concentration and temperature of H2O2 have been studied. The etch per cycle (EPC) of Si0.7Ge0.3 is 0.50 nm and the etching selectivity between Si0.7Ge0.3 and heavily p-type doped silicon is 4.99 in Si/SiGe/Si stacked layers with (110) sidewall. The etch rate and the selectivity are influenced by Ge fraction of SiGe and the boron doping in Si. The root mean square (RMS) roughness after 60 cycles qALE is 0.183 nm indicating atomically smooth surfaces. Finally, the application of qALE for vertical nanowire compared with wet continuous etching is discussed in this work. © 2020 The Electrochemical Society ("ECS"). Published on behalf of ECS by IOP Publishing Limited.
  •  
27.
  • Qin, Changliang, et al. (författare)
  • Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14 nm node FinFETs
  • 2016
  • Ingår i: Solid-State Electronics. - : Elsevier. - 0038-1101 .- 1879-2405. ; 124, s. 10-15
  • Tidskriftsartikel (refereegranskat)abstract
    • A complete mapping of 14 nm FinFETs performance over 200 mm wafers was performed and the pattern dependency of SiGe selective growth was calculated using an empirical kinetic molecule model for the reactant precursors. The transistor structures were analyzed by conventional characterization tools and their performance was simulated by considering the process related variations. The applied model presents for the first time a powerful tool for transistor community to predict the SiGe profile and strain modulating over a processed wafer, independent of wafer size.
  •  
28.
  • Wang, Guilei, et al. (författare)
  • Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors
  • 2017
  • Ingår i: Nanoscale Research Letters. - : Springer. - 1931-7573 .- 1556-276X. ; 12
  • Tidskriftsartikel (refereegranskat)abstract
    • In this study, the integration of SiGe selective epitaxy on source/drain regions and high-k and metal gate for 22 nm node bulk pMOS transistors has been presented. Selective Si1-xGex growth (0.35 <= x <= 0.40) with boron concentration of 1-3 x 10(20) cm(-3) was used to elevate the source/drain. The main focus was optimization of the growth parameters to improve the epitaxial quality where the high-resolution x-ray diffraction (HRXRD) and energy dispersive spectrometer (EDS) measurement data provided the key information about Ge profile in the transistor structure. The induced strain by SiGe layers was directly measured by x-ray on the array of transistors. In these measurements, the boron concentration was determined from the strain compensation of intrinsic and boron-doped SiGe layers. Finally, the characteristic of transistors were measured and discussed showing good device performance.
  •  
29.
  • Wang, Guilei, et al. (författare)
  • Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology
  • 2015
  • Ingår i: Solid-State Electronics. - : Elsevier BV. - 0038-1101 .- 1879-2405. ; 103, s. 222-228
  • Tidskriftsartikel (refereegranskat)abstract
    • SiGe has been widely used as stressors in source/drain (S/D) regions of Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) to enhance the channel mobility. In this study, selectively grown Si1-xGex (0.33 <= x <= 0.35) with boron concentration of 1 x 10(20) cm(-3) was used to elevate the S/D regions on bulk FinFETs in 14 nm technology node. The epitaxial quality of SiGe layers, SiGe profile and the strain amount of the SiGe layers were investigated. In order to in-situ clean the Si-fins before SiGe epitaxy, a series of prebaking experiments at temperature ranging from 740 to 825 degrees C were performed. The results showed that the thermal budget needs to be limited to 780-800 degrees C in order to avoid any damage to the shape of Si-fins but to remove the native oxide which is essential for high epitaxial quality. In this study, a kinetic gas niodel was also applied to predict the SiGe growth profile on Si-fins with trapezoidal shape. The input parameters for the model include growth temperature, partial pressures of reactant gases and the chip layout. By knowing the epitaxial profile, the strain to the Si-fins exerted by SiGe layers can be calculated. This is important in understanding the carrier transport in the FinFETs. The other benefit of the modeling is that it provides a cost-effective alternative for epitaxy process development as the SiGe profile can be readily predicted for any chip layout in advance.
  •  
30.
  • Wang, Guilei, et al. (författare)
  • pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology
  • 2017
  • Ingår i: Nanoscale Research Letters. - : SPRINGER. - 1931-7573 .- 1556-276X. ; 12
  • Tidskriftsartikel (refereegranskat)abstract
    • In this paper, pMOSFETs featuring atomic layer deposition (ALD) tungsten (W) using SiH4 and B2H6 precursors in 22 nm node CMOS technology were investigated. It is found that, in terms of threshold voltage, driving capability, carrier mobility, and the control of short-channel effects, the performance of devices featuring ALD W using SiH4 is superior to that of devices featuring ALD W using B2H6. This disparity in device performance results from different metal gate-induced strain from ALD W using SiH4 and B2H6 precursors, i.e. tensile stresses for SiH4 (similar to 2.4 GPa) and for B2H6 (similar to 0.9 GPa).
  •  
31.
  • Wang, Guilei, et al. (författare)
  • Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology
  • 2016
  • Ingår i: Microelectronic Engineering. - : Elsevier. - 0167-9317 .- 1873-5568. ; 163, s. 49-54
  • Tidskriftsartikel (refereegranskat)abstract
    • In this study, the process integration of SiGe selective epitaxy on source/drain regions, for 16/14 nm nodes FinFET with high-k & metal gate has been presented. Selectively grown Si1-xGex (0.35 <= x <= 0.40) with boron concentration of 1 x 10(20) cm(-3) was used to elevate the source/drain of the transistors. The epi-quality, layer profile and strain amount of the selectively grown SiGe layers were also investigated by means of various characterizations. A series of prebaking experiments were performed for temperatures ranging from 740 to 825 degrees C in order to in situ clean the Si fins prior to the epitaxy. The results showed that the thermal budget needs to be limited to 780-800 degrees C in order to avoid any damages to the shape of Si fins but to remove the native oxide effectively which is essential for high epitaxial quality. The Ge content in SiGe layers on Si fins was determined from the strain measured directly by reciprocal space mappings using synchrotron radiation. Atomic layer deposition technique was applied to fill the gate trench with W using WF6 and B2H6 precursors. By such an AID approach, decent growth rate, low resistivity and excellent gap filling capability of W in pretty high aspect-ratio gate trench was realized. The as-fabricated FinFETs demonstrated decent electrical characteristics.
  •  
32.
  • Yin, Henry H, et al. (författare)
  • Ethanol reverses the direction of long-term synaptic plasticity in the dorsomedial striatum.
  • 2007
  • Ingår i: The European journal of neuroscience. - : Wiley. - 0953-816X .- 1460-9568. ; 25:11, s. 3226-32
  • Tidskriftsartikel (refereegranskat)abstract
    • The striatum is a critical structure for the control of voluntary behaviour, and striatal synaptic plasticity has been implicated in instrumental learning. As ethanol consumption can cause impairments in cognition, learning, and action selection, it is important to understand the effects of this drug on striatal function. In this study we examined the effects of ethanol on long-term synaptic plasticity in the dorsomedial striatum (DMS), a striatal subregion that plays a central role in the acquisition and selection of goal-directed actions. Ethanol was found to impair N-methyl-d-aspartic acid receptor (NMDAR)-dependent long-term potentiation (LTP) dose-dependently in the DMS, and to promote long-term depression (LTD) at the highest concentration (50 mm) used. These results suggest that ethanol, at a concentration usually associated with mild intoxication, could significantly change experience-dependent modification of corticostriatal circuits underlying the learning of goal-directed instrumental actions.
  •  
33.
  • Yin, Henry H, et al. (författare)
  • Neurotensin reduces glutamatergic transmission in the dorsolateral striatum via retrograde endocannabinoid signaling.
  • 2008
  • Ingår i: Neuropharmacology. - : Elsevier BV. - 0028-3908. ; 54:1, s. 79-86
  • Tidskriftsartikel (refereegranskat)abstract
    • Neurotensin is a peptide that has been suggested to mimic the actions of antipsychotics, but little is known about how it affects synaptic transmission in the striatum, the major input nucleus of the basal ganglia. In this study we measured the effects of neurotensin on EPSCs from medium spiny projection neurons in the sensorimotor striatum, a region implicated in habit formation and control of motor sequences. We found that bath-applied neurotensin reduced glutamate release from presynaptic terminals, and that this effect required retrograde endocannabinoid signaling, as it was prevented by the CB1 cannabinoid receptor antagonist AM251. Neurotensin-mediated inhibition of striatal EPSCs was also blocked by antagonists of D2-like dopamine receptors and group I metabotropic glutamate receptors, as well as by intracellular calcium chelation and phospholipase C inhibition. These results suggest that neurotensin can indirectly engage an endocannabinoid-mediated negative feedback signal to control glutamatergic input to the basal ganglia.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-33 av 33
Typ av publikation
tidskriftsartikel (29)
konferensbidrag (1)
forskningsöversikt (1)
bokkapitel (1)
Typ av innehåll
refereegranskat (31)
övrigt vetenskapligt/konstnärligt (1)
Författare/redaktör
Radamson, Henry H. (22)
Zhu, H. (12)
Wang, G (12)
Li, J. (10)
Yin, H. (8)
Zhao, C. (8)
visa fler...
Li, C. (8)
Wang, Guilei (8)
Li, Junfeng (8)
Luo, J. (7)
Yin, X. (7)
Zhang, Y. (6)
Yang, T. (6)
Zhao, Chao (6)
Qin, C (6)
Luo, Jun (6)
Li, Y. (5)
Kong, Z. (5)
Kolahdouz, M. (4)
Rotter, Jerome I. (4)
Yang, Tao (4)
Liu, Jinbiao (4)
Yang, H. (3)
Zhou, N. (3)
Zhao, L. (3)
Abedin, Ahmad (3)
Ma, X. (3)
Xie, L. (3)
van Duijn, Cornelia ... (3)
Stefansson, Kari (3)
Verweij, Niek (3)
Yan, J. (3)
Launer, Lenore J (3)
Kolcic, Ivana (3)
Psaty, Bruce M (3)
Hayward, Caroline (3)
Gudnason, Vilmundur (3)
Polasek, Ozren (3)
van der Harst, Pim (3)
Holm, Hilma (3)
Smith, Albert V (3)
Bandinelli, Stefania (3)
Cheng, Ching-Yu (3)
Wong, Tien Yin (3)
Yan, Jiang (3)
Snieder, Harold (3)
Jukema, J. Wouter (3)
Du, A. (3)
Trompet, Stella (3)
Wang, Wenwu (3)
visa färre...
Lärosäte
Kungliga Tekniska Högskolan (12)
Mittuniversitetet (10)
Göteborgs universitet (6)
Karolinska Institutet (6)
Uppsala universitet (5)
Lunds universitet (4)
visa fler...
Umeå universitet (2)
Linköpings universitet (1)
Chalmers tekniska högskola (1)
Högskolan Dalarna (1)
Sveriges Lantbruksuniversitet (1)
visa färre...
Språk
Engelska (33)
Forskningsämne (UKÄ/SCB)
Teknik (13)
Medicin och hälsovetenskap (9)
Naturvetenskap (5)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy