SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Kaxiras Stefanos Professor) srt2:(2017)"

Search: WFRF:(Kaxiras Stefanos Professor) > (2017)

  • Result 1-1 of 1
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • Davari, Mahdad (author)
  • Advances Towards Data-Race-Free Cache Coherence Through Data Classification
  • 2017
  • Doctoral thesis (other academic/artistic)abstract
    • Providing a consistent view of the shared memory based on precise and well-defined semantics—memory consistency model—has been an enabling factor in the widespread acceptance and commercial success of shared-memory architectures. Moreover, cache coherence protocols have been employed by the hardware to remove from the programmers the burden of dealing with the memory inconsistency that emerges in the presence of the private caches. The principle behind all such cache coherence protocols is to guarantee that consistent values are read from the private caches at all times.In its most stringent form, a cache coherence protocol eagerly enforces two invariants before each data modification: i) no other core has a copy of the data in its private caches, and ii) all other cores know where to receive the consistent data should they need the data later. Nevertheless, by partly transferring the responsibility for maintaining those invariants to the programmers, commercial multicores have adopted weaker memory consistency models, namely the Total Store Order (TSO), in order to optimize the performance for more common cases.Moreover, memory models with more relaxed invariants have been proposed based on the observation that more and more software is written in compliance with the Data-Race-Free (DRF) semantics. The semantics of DRF software can be leveraged by the hardware to infer when data in the private caches might be inconsistent. As a result, hardware ignores the inconsistent data and retrieves the consistent data from the shared memory. DRF semantics therefore removes from the hardware the burden of eagerly enforcing the strong consistency invariants before each data modification. Instead, consistency is guaranteed only when needed. This results in manifold optimizations, such as reducing the energy consumption and improving the performance and scalability. The efficiency of detecting and discarding the inconsistent data is an important factor affecting the efficiency of such coherence protocols. For instance, discarding the consistent data does not affect the correctness, but results in performance loss and increased energy consumption.In this thesis we show how data classification can be leveraged as an effective tool to simplify the cache coherence based on the DRF semantics. In particular, we introduce simple but efficient hardware-based private/shared data classification techniques that can be used to efficiently detect the inconsistent data, thus enabling low-overhead and scalable cache coherence solutions based on the DRF semantics.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-1 of 1
Type of publication
doctoral thesis (1)
Type of content
other academic/artistic (1)
Author/Editor
Ros, Alberto (1)
Hagersten, Erik (1)
Davari, Mahdad (1)
Stefanos, Kaxiras (1)
Acacio Sánchez, Manu ... (1)
University
Uppsala University (1)
Language
English (1)
Research subject (UKÄ/SCB)
Engineering and Technology (1)
Year

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view