SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "(db:Swepub) pers:(Chen Xiaowen) srt2:(2014)"

Sökning: (db:Swepub) pers:(Chen Xiaowen) > (2014)

  • Resultat 1-2 av 2
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Chen, Xiaowen, et al. (författare)
  • Cooperative communication for efficient and scalable all-to-all barrier synchronization on mesh-based many-core NoCs
  • 2014
  • Ingår i: IEICE Electronics Express. - : Institute of Electronics, Information and Communications Engineers (IEICE). - 1349-2543. ; 11:18, s. 20140542-
  • Tidskriftsartikel (refereegranskat)abstract
    • On many-core Network-on-Chips (NoCs), communication is on the critical path of system performance and contended synchronization requests may cause large performance penalty. Different from conventional algorithm-based approaches, the paper addresses the barrier synchronization problem from the angle of optimizing its communication performance and proposes cooperative communication as a means to achieve efficient and scalable all-to-all barrier synchronization on mesh-based many-core NoCs. With the cooperative communication, routers collaborate with one another to accomplish a fast barrier synchronization task. The cooperative communication is implemented in our router at low cost. Through comparative experiments, our approach evidently exhibits high efficiency and good scalability.
  •  
2.
  • Li, Yang, et al. (författare)
  • Round-trip latency prediction for memory access fairness in mesh-based many-core architectures
  • 2014
  • Ingår i: IEICE Electronics Express. - : Institute of Electronics, Information and Communications Engineers (IEICE). - 1349-2543. ; 11:24, s. 20141027-
  • Tidskriftsartikel (refereegranskat)abstract
    • In mesh-based many-core architectures, processor cores and memories reside in different locations (center, corner, edge, etc.), therefore memory accesses behave differently due to their different communication distances. The latency difference leads to unfair memory access and some memory accesses with very high latencies, degrading the system performance. However, improving one memory access's latency can worsen the latency of another since memory accesses contend in the network. Therefore, the goal should focus on memory access fairness through balancing the latencies of memory accesses while ensuring a low average latency. In the paper, we address the goal by proposing to predict the round-trip latencies of memory access related packets and use the predicted round-trip latencies to prioritize the packets. The router supporting fair memory access is designed and its hardware cost is given. Experiments are carried out with a variety of network sizes and packet injection rates and prove that our approach outperforms the classic round-robin arbitration in terms of average latency and LSD1. In the experiments, the maximum improvement of the average latency and the LSD are 16% and 48% respectively.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-2 av 2
Typ av publikation
tidskriftsartikel (2)
Typ av innehåll
refereegranskat (2)
Författare/redaktör
Chen, Xiaowen (2)
Liu, Hengzhu (2)
Yang, Yong (1)
Jantsch, Axel (1)
Lu, Zhonghai (1)
Li, Yang (1)
visa fler...
Guo, Yang (1)
Chen, Shuming (1)
Zhao, Xiaohui (1)
visa färre...
Lärosäte
Kungliga Tekniska Högskolan (2)
Språk
Engelska (2)
Forskningsämne (UKÄ/SCB)
Teknik (2)
År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy