SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Ebrahimi M) srt2:(2010-2014)"

Search: WFRF:(Ebrahimi M) > (2010-2014)

  • Result 1-10 of 15
Sort/group result
   
EnumerationReferenceCoverFind
1.
  •  
2.
  • Ebrahimi, M., et al. (author)
  • HARAQ : Congestion-Aware Learning Model for Highly Adaptive Routing Algorithm in On-Chip Networks
  • 2012
  • In: Proceedings of the 2012 6th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2012. ; , s. 19-26
  • Conference paper (peer-reviewed)abstract
    • The occurrence of congestion in on-chip networks can severely degrade the performance due to increased message latency. In mesh topology, minimal methods can propagate messages over two directions at each switch. When shortest paths are congested, sending more messages through them can deteriorate the congestion condition considerably. In this paper, we present an adaptive routing algorithm for on-chip networks that provide a wide range of alternative paths between each pair of source and destination switches. Initially, the algorithm determines all permitted turns in the network including 180-degree turns on a single channel without creating cycles. The implementation of the algorithm provides the best usage of all allowable turns to route messages more adaptively in the network. On top of that, for selecting a less congested path, an optimized and scalable learning method is utilized. The learning method is based on local and global congestion information and can estimate the latency from each output channel to the destination region.
  •  
3.
  • Daneshtalab, M., et al. (author)
  • A Low-Latency and Memory-Efficient On-chip Network
  • 2010
  • In: NOCS 2010. ; , s. 99-106
  • Conference paper (peer-reviewed)abstract
    • Using multiple SDRAMs in MPSoCs and NoCs to increase memory parallelism is very common nowadays. In-order delivery, resource utilization, and latency are the most critical issues in such architectures. In this paper, we present a novel network interface architecture to cope with these issues efficiently. The proposed network interface exploits a resourceful reordering mechanism to handle the in-order delivery and to increase the resource utilization. A brilliant memory controller is efficiently integrated into this network interface to improve the memory utilization and reduce both memory and network latencies. In addition, to bring compatibility with existing IP cores the proposed network interface utilizes AXI transaction based protocol. Experimental results with synthetic test cases demonstrate that the proposed architecture gives significant improvements in average network latency (12%), average memory access latency (19%), and average memory utilization (22%).
  •  
4.
  • Daneshtalab, M., et al. (author)
  • CMIT : A novel cluster-based topology for 3D stacked architectures
  • 2010
  • In: IEEE 3D System Integration Conference 2010, 3DIC 2010.
  • Conference paper (peer-reviewed)abstract
    • Combining the benefits of 3D IC and Network-on-Chip (NoC) schemes, provides a significant performance gain for 3D stacked architectures. In recent years, Through-Silicon-Via (TSV), employed for inter-layer connectivity (vertical channel), has attracted a lot of interest since it enables faster and more power efficient inter-layer communication across multiple stacked layers. However, the area overhead of TSVs reduces wafer utilization and yield which impact design of 3D architectures using a large number of TSVs. In this paper, we propose a novel stacked topology, named CMIT (Cluster Mesh Inter-layer Topology) for 3D architectures to reduce the area overhead of TSVs and power dissipation on each layer with minimal performance penalty. Experimental results with synthetic test cases demonstrate that the presented topology can save more than 75% of TSV area footprint and reduces more than 10% of power consumption with a negligible performance overhead.
  •  
5.
  • Daneshtalab, M., et al. (author)
  • High-performance on-chip network platform for memory-on-processor architectures
  • 2011
  • In: 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip, ReCoSoC 2011 - Proceedings.
  • Conference paper (peer-reviewed)abstract
    • Three Dimensional Integrated Circuits (3D ICs) are emerging to improve existing Two Dimensional (2D) designs by providing smaller chip areas, higher performance and lower power consumption. Stacking memory layers on top of a multiprocessor layer (logic layer) is a potential solution to reduce wire delay and increase the bandwidth. To fully employ this capability, an efficient on-chip communication platform is required to be integrated in the logic layer. In this paper, we present an on-chip network platform for the logic layer utilizing an efficient network interface to exploit the potential bandwidth of stacked memory-on-processor architectures. Experimental results demonstrate that the platform equipped with the presented network interface increases the performance considerably.
  •  
6.
  • Daneshtalab, M., et al. (author)
  • High-Performance TSV Architecture for 3-D ICs
  • 2010
  • In: Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010. - : Institute of Electrical and Electronics Engineers (IEEE). - 9781424473212 ; , s. 467-468
  • Conference paper (peer-reviewed)abstract
    • Three-dimensional integrated circuits (3-D ICs) outperform traditional planar ICs in terms of performance, packaging density, interconnection power consumption, and functionality. Since the performance of 3-D ICs employing Through Silicon Vias (TSVs) depends on vertical interlayer interconnects, in this paper we present a high-performance bus architecture for TSVs.
  •  
7.
  • Daneshtalab, M., et al. (author)
  • Input-Output Selection Based Router for Networks-on-Chip
  • 2010
  • In: IEEE Annual Symposium on VLSI, ISVLSI 2010. ; , s. 92-97
  • Conference paper (peer-reviewed)abstract
    • In this paper, we propose a novel on-chip router architecture for avoiding congested areas in regular twodimensional on-chip networks. This architecture takes advantage of an efficient adaptive routing model based on the Hamiltonian path for both the multicast and unicast traffic. The output selection of the proposed architecture is based on the congestion condition of neighboring routers and the input selection is based on the Weighted Round Robin mechanism which allows packets to be serviced from each input port according to its congestion level The simulation results show that in multicast, unicast, and mixed traffic profiles the proposed model has lower average delays and lower average and peak power compared to previously proposed models.
  •  
8.
  • Daneshtalab, M., et al. (author)
  • Memory-Efficient On-Chip Network With Adaptive Interfaces
  • 2012
  • In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. - : Institute of Electrical and Electronics Engineers (IEEE). - 0278-0070 .- 1937-4151. ; 31:1, s. 146-159
  • Journal article (peer-reviewed)abstract
    • To achieve higher memory bandwidth in network-based multiprocessor architectures, multiple dynamic random access memories can be accessed simultaneously. In such architectures, not only resource utilization and latency are the critical issues but also a reordering mechanism is required to deliver the response transactions of concurrent memory accesses in-order. In this paper, we present a memory-efficient on-chip network architecture to cope with these issues efficiently. Each node of the network is equipped with a novel network interface (NI) to deal with out-of-order delivery, and a priority-based router to decrease the network latency. The proposed NI exploits a streamlined reordering mechanism to handle the in-order delivery and utilizes the advance extensible interface transaction-based protocol to maintain compatibility with existing intellectual property cores. To improve the memory utilization and reduce the memory latency, an optimized memory controller is integrated in the presented NI. Experimental results with synthetic test cases demonstrate that the proposed on-chip network architecture provides significant improvements in average network latency (16%), average memory access latency (19%), and average memory utilization (22%).
  •  
9.
  • Daneshtalab, M., et al. (author)
  • Pipeline-based interlayer bus structure for 3D networks-on-chip
  • 2010
  • In: Proceedings - 15th CSI International Symposium on Computer Architecture and Digital Systems, CADS 2010. ; , s. 35-41
  • Conference paper (peer-reviewed)abstract
    • The structure of direct vertical interconnections, called Through Silicon Vias (TSVs), is an important issue in the realm of 3D ICs. The bus-based and network-based structures are the two dominant architectures for implementing TSVs as interlayer connection in 3D ICs. Both implementations have some disadvantages. The former suffers from poor scalability and deteriorates the performance at high injection rates, and the latter consumes more area and power dissipation. In this paper, we propose a novel pipeline bus structure for TSVs to improve the performance of the prior bus-based architecture. The presented structure can utilize bi-synchronous FIFO for synchronization between stacked layers if each layer is fabricated by different technologies. Experimental results with synthetic test cases demonstrate that the proposed architecture gives significant improvements in average network latency. Also, the hardware area and power consumption of the presented bus structure are 9% and 11% less than the typical bus structure of TSVs, respectively.
  •  
10.
  • Ebrahimi, M., et al. (author)
  • A High-Performance Network Interface Architecture for NoCs Using Reorder Buffer Sharing
  • 2010
  • In: 18th Euromicro Conference on Parallel, Distributed and Network-Based Processing, PDP 2010. ; , s. 546-550
  • Conference paper (peer-reviewed)abstract
    • Increasing memory parallelism in MPSoCs to provide higher memory bandwidth is achieved by accessing multiple memories simultaneously. Inasmuch as the response transactions of concurrent memory accesses must be in-order, a reordering mechanism is required. To our knowledge the resource utilization of conventional reordering mechanisms is low. In this paper, we present a novel network interface architecture for on-chip networks to increase the resource utilization and to improve overall performance. Also, based on the proposed architecture, a hybrid network interface is presented to integrate both memory and processor in a tile. The proposed architecture exploits AXI transaction based protocol to be compatible with existing IP cores. Experimental results with synthetic test cases demonstrate that the proposed architecture outperforms the conventional architecture in terms of latency. Also, the cost of the presented architecture is evaluated with UMC 0.09μm technology.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-10 of 15

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view