SwePub
Tyck till om SwePub Sök här!
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "L773:0040 6090 OR L773:1879 2731 ;pers:(Radamson Henry H.)"

Sökning: L773:0040 6090 OR L773:1879 2731 > Radamson Henry H.

  • Resultat 1-10 av 10
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Abedin, Ahmad, et al. (författare)
  • Sensitivity of the crystal quality of SiGe layers grown at low temperatures by trisilane and germane
  • 2016
  • Ingår i: Thin Solid Films. - : Elsevier. - 0040-6090 .- 1879-2731. ; 613, s. 38-42
  • Tidskriftsartikel (refereegranskat)abstract
    • This work investigates the crystal quality of SiGe layers grown at low temperatures using trisilane, and germane precursors. The crystal quality sensitivity was monitored for hydrogen chloride and/or minor oxygen amount during SiGe epitaxy or at the interface of SiGe/Si layers. The quality of the epi-layerswas examined by quantifying noise parameter, K-1/f obtained from the power spectral density vs. 1/f curves. The results indicate that while it is difficult to detect small defect densities in SiGe layers by physical material characterization, the noise measurement could reveal the effects of oxygen contamination as low as 0.16mPa inside and in the interface of the layers.
  •  
2.
  • Aggerstam, Thomas, et al. (författare)
  • Investigation of the interface properties of MOVPE grown AlGaN/GaN high electron mobility transistor (HEMT) structures on sapphire
  • 2006
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 515:2, s. 705-707
  • Tidskriftsartikel (refereegranskat)abstract
    • We have developed a virtual GaN substrate on sapphire based on a two-step growth method. By optimizing the growth scheme for the virtual substrate we have improved crystal quality and reduced interface roughness. Our Al0.22Ga0.78N/GaN HEMT structure grown on the optimized semi-insulating GaN virtual substrate, exhibits Hall mobilities as high as 1720 and 7350 cm(2)/Vs and sheet carrier concentrations of 8.4 x 1012 and 10.0 x 1012 cm(-2) at 300 K and 20 K, respectively The presence of good AlGaN/GaN interface quality and surface morphology is also substantiated by X-Ray reflectivity and Atomic Force Microscopy measurements. A simplified transport model is used to fit the experimental Hall mobility.
  •  
3.
  • Azarov, Alexander, et al. (författare)
  • Dopant incorporation in thin strained Si layers implanted with Sb
  • 2010
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 518:9, s. 2474-2477
  • Tidskriftsartikel (refereegranskat)abstract
    • The effect of tensile strain on Sb incorporation in Si and its activation during post-implantation annealing has been Studied by a combination of Rutherford backscattering/channeling spectrometry, secondary ion mass spectrometry. X-ray diffraction and 4-point probe measurements Our results show that, for Sb implanted samples a tensile strain has an important role for dopant behavior Particularly, increasing the tensile strain in the Si layer from 0 to 0 8% leads to an enhancement of the fraction of incorporated Sb atoms in substitutional sites already during implantation from similar to 7 to 30% Furthermore, 0 8% strain in antimony doped Si gives similar to 20% reduction in the sheet resistance in comparison to the unstrained sample.
  •  
4.
  • Bennett, N. S., et al. (författare)
  • Enhanced n-type dopant solubility in tensile-strained Si
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 331-333
  • Tidskriftsartikel (refereegranskat)abstract
    • The creation of highly conductive ultrashallow-doped regions in strained Si is a key requirement for future Si based devices. It is shown that in the presence of tensile strain, Sb becomes a contender to replace As in strain-engineered CMOS devices due to advantages in sheet resistance. While strain reduces resistance for both As and Sb; a result of enhanced electron mobility, the reduction is significantly larger for Sb due to an increase in donor activation. Differential Hall measurements suggest this is a consequence of a strain-induced Sb solubility enhancement following solid-phase epitaxial regrowth, increasing Sb solubility in Si to levels approaching 10(21) cm(-3). Experiments highlight the importance of maintaining substrate strain during thermal annealing to maintain this high Sb activation.
  •  
5.
  • Ghandi, R., et al. (författare)
  • Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 334-336
  • Tidskriftsartikel (refereegranskat)abstract
    • In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si1-xGex (x=0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers.
  •  
6.
  • Hållstedt, Julius., et al. (författare)
  • Sidewall transfer lithography for reliable fabrication of nanowires and deca-nanometer MOSFETs
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 117-120
  • Tidskriftsartikel (refereegranskat)abstract
    • Today MOSFET devices are approaching gate lengths on the order of 10 nm. This sets extreme demands on gate patterning technique. This paper describes a side wall transfer lithography technique to pattern decananomeer MOSFETs or nanowires. A correlated line edge roughness leading to a very low line width roughness was demonstrated for the patterned gates. Moreover, the technology was shown to be robust and reproducible with high yield and uniformity suitable for mass fabrication. Finally, integration of the sidewall transfer lithography was performed in various novel MOSFET devices.
  •  
7.
  • Kolahdouz, M., et al. (författare)
  • The influence of Si coverage in a chip on layer profile of selectively grown Si1-xGex layers using RPCVD technique
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 257-258
  • Tidskriftsartikel (refereegranskat)abstract
    • The influence of chip layout (Si coverage and geometry) on the pattern dependency of selective epitaxy of SiGe layers has been investigated. The variation of Ge content and the growth rate have been investigated from a chip-to-chip (local effect) or wafer-to-wafer. The results are described by transport and diffusion of the reactant molecules over the chips during epitaxy. Our investigations are focused on the origin of pattern dependency of the deposition and also propose methods to control this growth behavior.
  •  
8.
  • Radamson, Henry H., et al. (författare)
  • Preface
  • 2016
  • Ingår i: Thin Solid Films. - : Elsevier. - 0040-6090 .- 1879-2731. ; 613, s. 1-1
  • Tidskriftsartikel (refereegranskat)
  •  
9.
  •  
10.
  • Wissmar, S. G. E., et al. (författare)
  • SiGe quantum well thermistor materials
  • 2008
  • Ingår i: Thin Solid Films. - : Elsevier BV. - 0040-6090 .- 1879-2731. ; 517:1, s. 337-339
  • Tidskriftsartikel (refereegranskat)abstract
    • A novel monocrystalline high-performance thermistor material based on SiGe quantum well (QW) heterostructures is presented. A comparison between different growth temperatures for Si0.7Ge0.3 and Si growth is performed. Results illustrate a value of 2.3%/K for TCR with a low excess noise.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 10

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy