SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Larsson Anders) ;mspu:(conferencepaper)"

Sökning: WFRF:(Larsson Anders) > Konferensbidrag

  • Resultat 1-10 av 530
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Anheden, Marie, et al. (författare)
  • Value chain for production of bio-oil from kraft lignin for use as bio-jet fuel
  • 2017
  • Ingår i: The 7th Nordic Wood Biorefinery Conference held in Stockholm, Sweden, 28-30 Mar. 2017. - Stockholm : RISE Bioekonomi. - 9789186018207 ; , s. 104-109
  • Konferensbidrag (refereegranskat)abstract
    • The LignoJet project aimed to achieve an intermediate lignin-oil product miscible with fossil feedstock and with a significantly reduced oxygen content. A technical concept for production has been studied that involves combined catalysed depolymerisation and hydrodeoxygenation, so called hydrogenolytic depolymerisation, of kraft lignin. Kraft lignin was separated through membrane ultrafiltration from softwood and eucalyptus black liquor followed by precipitation through LignoBoost technology. A difference in lignin properties was observed between ultrafiltration of softwood and eucalyptus black liquor through 15 and 150kDa ceramic membranes. Lignin-oils with similar oxygen content were produced regardless of origin and fractionation technique. A lignin-oil with favourable properties as precursor for refinery integration for jet fuel production as produced in small-scale batch experiments using nickel-based catalyst. Stable pumpable oils with melting point of less than 25-50 deg C and with 20-30% lower oxygen content and aromatic content were obtained that would be suitable as jet fuel precursors. The estimated production cost was found to be competitive with that of other liquid biofuels, while additional revenues could potentially be achieved by also producing chemical and materials from suitable fractions of the lignin-oil.
  •  
2.
  • Larsson, Anders, 1977-, et al. (författare)
  • A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing
  • 2007
  • Ingår i: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems,2007. - Krakow, Poland : IEEE Computer Society Press. - 1424411629 - 1424411629 ; , s. 61-
  • Konferensbidrag (refereegranskat)abstract
    • The increasing cost for System-on-Chip (SOC) testing is mainly due to the huge test data volumes that lead to long test application time and require large automatic test equipment (ATE) memory. Test compression and test sharing have been proposed to reduce the test data volume, while test infrastructure and concurrent test scheduling have been developed to reduce the test application time. In this work we propose an integrated test scheduling and test infrastructure design approach that utilizes both test compression and test sharing as basic mechanisms to reduce test data volumes. In particular, we have developed a heuristic to minimize the test application time, considering different alternatives of test compression and sharing, without violating a given ATE memory constraint. The results from the proposed Tabu Search based heuristic have been validated using benchmark designs and are compared with optimal solutions.
  •  
3.
  • Larsson, Anders, 1977-, et al. (författare)
  • A Technique for Optimization of System-on-Chip Test Data Transportation
  • 2004
  • Ingår i: 9th IEEE European Test Symposium,2004. ; , s. 179-180
  • Konferensbidrag (refereegranskat)abstract
    • We propose a Tabu-search-based technique for time-constrained SOC (System-on-Chip) test data transportation. The technique makes use of the existing bus structure, where the advantage is, compared to adding dedicated test buses, that no additional routing is needed. In order to speed up the testing and to fulfill the time constraint, we introduce a buffer at each core, which in combination with dividing tests into smaller packages allows concurrent application of tests on a sequential bus. Our technique minimizes the combined cost of the added buffers and the test control logic. We have implemented the technique, and experimental results indicate that it produces high quality results at low computational cost.
  •  
4.
  • Larsson, Anders, 1977-, et al. (författare)
  • Buffer and Controller Minimization for Time-Constrained Testing of System-On-Chip
  • 2003
  • Ingår i: 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems DFT03,2003. - Cambridge, MA, USA : IEEE Computer Society Press. ; , s. 385-
  • Konferensbidrag (refereegranskat)abstract
    • Test scheduling and Test Access Mechanism (TAM)design are two important tasks in the development of a System-on-Chip (SOC)test solution.Previous test scheduling techniques assume a dedicated designed TAM which have the advantage of high exibility in the scheduling process. However,hardware verhead for implementing the TAM and additional routing is required of the TAMs.In this paper we propose a technique that makes use of the existing functional buses for the test data transportation inside the SOC.We have dealt with the test scheduling problem with this new assumption and developed a technique to minimize the test-controller and buffer size for a bus- based multi-core SOC.We have solved the problem by using a constraint logic pr gramming (CLP) technique and demonstrated the ef ciency of our approach by running experiments on benchmark designs.
  •  
5.
  • Larsson, Anders, 1977-, et al. (författare)
  • Core-Level Expansion of Compressed Test Patterns
  • 2008
  • Ingår i: Proceedings of the Asian Test Symposium. - Sapporo, JAPAN : IEEE Computer Society. - 9780769533964 ; , s. 277-282
  • Konferensbidrag (refereegranskat)abstract
    •  The increasing test-data volumes needed for the testing of system-on-chip (SOC) integrated circuits lead to long test-application times and high tester memory requirements. Efficient test planning and test-data compression are therefore needed. We present an analysis to highlight the fact that the impact of a test-data compression technique on test time and compression ratio are method-dependant as well as TAM-width dependant. This implies that for a given set of compression schemes, there is no compression scheme that is the optimal with respect to test time reduction and test-data compression at all TAM widths. We therefore propose a technique where we integrate core wrapper design, test architecture design and test scheduling with test-data compression technique selection for each core in order to minimize the SOC test-application time and the test-data volume. Experimental results for several SOCs crafted from industrial cores demonstrate that the proposed method leads to significant reduction in test-data volume and test time.
  •  
6.
  • Larsson, Anders, 1977-, et al. (författare)
  • Optimization of a Bus-based Test Data Transportation Mechanism in System-on-Chip
  • 2005
  • Ingår i: 8th Euromicro Conference on Digital System Design DSD2005,2005. - Porto, Portugal : IEEE Computer Society Press. - 0769524338 ; , s. 403-
  • Konferensbidrag (refereegranskat)abstract
    • The increasing amount of test data needed to test SOC (System-on-Chip) entails efficient design of the TAM (test access mechanism), which is used to transport test data inside the chip. Having a powerful TAM will shorten the test time, but it costs large silicon area to implement it. Hence, it is important to have an efficient TAM with minimal required hardware overhead. We propose a technique that makes use of the existing bus structure with additional buffers inserted at each core to allow test application to the cores and test data transportation over the bus to be performed asynchronously. The non-synchronization of test data transportation and test application makes it possible to perform concurrent testing of cores while test data is transported in a sequence. We have implemented a Tabu search based technique to optimize our test architecture, and the experimental results indicate that it produces high quality results at low computational cost.
  •  
7.
  • Larsson, Anders, 1977-, et al. (författare)
  • Optimized Integration of Test Compression and Sharing for SOC Testing
  • 2007
  • Ingår i: Design, Automation, and Test in Europe Conference DATE07,2007. - Nice, France : IEEE Computer Society Press. - 9783981080124 ; , s. 207-
  • Konferensbidrag (refereegranskat)abstract
    • The increasing test data volume needed to test core-based System-on-Chip contributes to long test application times (TAT) and huge automatic test equipment (ATE) memory requirements. TAT and ATE memory requirement can be reduced by test architecture design, test scheduling, sharing the same tests among several cores, and test data compression. We propose, in contrast to previous work that addresses one or few of the problems, an integrated framework with heuristics for sharing and compression and a Constraint Logic Programming technique for architecture design and test scheduling that minimizes the TAT without violating a given ATE memory constraint. The significance of our approach is demonstrated by experiments with ITC-02 benchmark designs.
  •  
8.
  • Larsson, Anders, 1977-, et al. (författare)
  • SOC Test Optimization with Compression-Technique Selection
  • 2008
  • Ingår i: Proceedings - International Test Conference. - : IEEE. - 9781424424030 - 9781424424023 ; , s. 1-
  • Konferensbidrag (övrigt vetenskapligt/konstnärligt)abstract
    • The increasing test-data volumes needed for the testing of system-on-chip (SOC) lead to long test times and high memory requirements. We present an analysis to highlight the fact that the impact of a test-data compression technique on test time and compression ratio are method-dependant as well as TAM-width dependant. Therefore, we propose a technique where compression-technique selection is integrated with core wrapper design, test architecture design, and test scheduling to minimize the SOC test time and the test-data volume.
  •  
9.
  • Larsson, Anders, 1977-, et al. (författare)
  • SOC Test Scheduling with Test Set Sharing and Broadcasting
  • 2005
  • Ingår i: IEEE Asian Test Symposium,2005. - Kolkata, India : IEEE Computer Society Press. - 0769524818 ; , s. 162-
  • Konferensbidrag (refereegranskat)abstract
    • Due to the increasing test data volume needed to test core-based System-on-Chip, several test scheduling techniques minimizing the test application time have been proposed. In contrast to approaches where a fixed test set for each core is assumed, we explore the possibility to use overlapping test patterns from the tests in the system. The overlapping tests serves as alternatives to the original dedicated test for the cores and, if selected, they are transported to the cores in a broadcasted manner so that several cores are tested concurrently. We have made use of a Constraint Logic Programming technique to select suitable tests for each core in the system and schedule the selected tests such that the test application time is minimized while designer-specified hardware constraints are satisfied. The experimental results indicate that we can on average reduce the test application time with 23%.
  •  
10.
  • Larsson, Anders, 1977-, et al. (författare)
  • Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns
  • 2008
  • Ingår i: Design, Automation, and Test in Europe DATE 2008,2008. - Munich, Germany : IEEE Computer Society Press. - 9783981080131 - 9783981080148 ; , s. 188-
  • Konferensbidrag (refereegranskat)abstract
    • The ever-increasing test data volume for core-based system-on-chip (SOC) integrated circuits is resulting in high test times and excessive tester memory requirements. To reduce both test time and test data volume, we propose a technique for test-architecture optimization and test scheduling that is based on core-level expansion of compressed test patterns. For each wrapped embedded core and its decompressor, we show that the test time does not decrease monotonically with the width of test access mechanism (TAM) at the decompressor input. We optimize the wrapper and decompressor designs for each core, as well as the TAM architecture and the test schedule at the SOC level. Experimental results for SOCs crafted from several industrial cores demonstrate that the proposed method leads to significant reduction in test data volume and test time, especially when compared to a method that does not rely on core-level decompression of patterns.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 530
Typ av publikation
Typ av innehåll
refereegranskat (395)
övrigt vetenskapligt/konstnärligt (133)
populärvet., debatt m.m. (2)
Författare/redaktör
Larsson, Anders, 195 ... (180)
Gustavsson, Johan, 1 ... (116)
Larsson, Anders (104)
Westbergh, Petter, 1 ... (69)
Haglund, Åsa, 1976 (48)
Wang, Shu Min, 1963 (39)
visa fler...
Bollen, Math (35)
Sadeghi, Mahdad, 196 ... (32)
Bengtsson, Jörgen, 1 ... (25)
Larsson, Anders, 196 ... (21)
Kögel, Benjamin, 197 ... (19)
Lundmark, Martin (18)
Szczerba, Krzysztof, ... (18)
Wei, Yongqiang, 1975 (17)
Andrekson, Peter, 19 ... (17)
Karlsson, Magnus, 19 ... (15)
Rönnberg, Sarah (14)
Baets, Roel G. (13)
Roelkens, Gunther (13)
Lengyel, Tamas, 1986 (12)
Kumari, Sulakshna (12)
Larsson, Ida (11)
Simpanen, Ewa, 1987 (11)
Adolfsson, Göran, 19 ... (10)
Wahlberg, Mats (10)
Larsson, Erik, 1966- (10)
Larkins, Eric (10)
Larsson-Edefors, Per ... (9)
Persson, Henry (9)
Risérus, Ulf (8)
Melanen, P. (8)
Ingelsson, Erik (8)
Sundström, Johan (8)
Blomqvist, Per (8)
Emrich, Anders, 1962 (8)
Ärnlöv, Johan (8)
Larsson, Stefan (7)
Warell, Anders (7)
Larsson, Christer (7)
Larsson, Mats (7)
Lim, Jun (7)
Larsson, Per Anders (7)
Larsson, Andreas (7)
Peng, Zebo, 1958- (7)
Basu, Samar (7)
Eles, Petru Ion, 195 ... (7)
Christiansen Erlands ... (7)
Zhao, Qing Xiang, 19 ... (7)
Nerpin, Elisabet (7)
Debernardi, P. (7)
visa färre...
Lärosäte
Chalmers tekniska högskola (218)
Uppsala universitet (54)
Luleå tekniska universitet (53)
Lunds universitet (53)
Linköpings universitet (44)
Göteborgs universitet (37)
visa fler...
Kungliga Tekniska Högskolan (33)
RISE (13)
Högskolan Dalarna (9)
Sveriges Lantbruksuniversitet (8)
Mälardalens universitet (7)
Stockholms universitet (6)
Linnéuniversitetet (5)
Karlstads universitet (5)
Malmö universitet (4)
Umeå universitet (3)
Högskolan i Halmstad (3)
VTI - Statens väg- och transportforskningsinstitut (3)
Högskolan Väst (2)
Mittuniversitetet (2)
Högskolan i Gävle (1)
Örebro universitet (1)
Högskolan i Borås (1)
Sophiahemmet Högskola (1)
visa färre...
Språk
Engelska (513)
Svenska (17)
Forskningsämne (UKÄ/SCB)
Teknik (306)
Naturvetenskap (100)
Samhällsvetenskap (57)
Medicin och hälsovetenskap (29)
Lantbruksvetenskap (11)
Humaniora (6)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy