SwePub
Tyck till om SwePub Sök här!
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Lin Xu) ;lar1:(miun)"

Sökning: WFRF:(Lin Xu) > Mittuniversitetet

  • Resultat 1-8 av 8
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Pecunia, Vincenzo, et al. (författare)
  • Roadmap on energy harvesting materials
  • 2023
  • Ingår i: Journal of Physics. - : IOP Publishing. - 2515-7639. ; 6:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Ambient energy harvesting has great potential to contribute to sustainable development and address growing environmental challenges. Converting waste energy from energy-intensive processes and systems (e.g. combustion engines and furnaces) is crucial to reducing their environmental impact and achieving net-zero emissions. Compact energy harvesters will also be key to powering the exponentially growing smart devices ecosystem that is part of the Internet of Things, thus enabling futuristic applications that can improve our quality of life (e.g. smart homes, smart cities, smart manufacturing, and smart healthcare). To achieve these goals, innovative materials are needed to efficiently convert ambient energy into electricity through various physical mechanisms, such as the photovoltaic effect, thermoelectricity, piezoelectricity, triboelectricity, and radiofrequency wireless power transfer. By bringing together the perspectives of experts in various types of energy harvesting materials, this Roadmap provides extensive insights into recent advances and present challenges in the field. Additionally, the Roadmap analyses the key performance metrics of these technologies in relation to their ultimate energy conversion limits. Building on these insights, the Roadmap outlines promising directions for future research to fully harness the potential of energy harvesting materials for green energy anytime, anywhere.
  •  
2.
  • Feigin, Valery L., et al. (författare)
  • Global, regional, and national burden of stroke and its risk factors, 1990-2019 : a systematic analysis for the Global Burden of Disease Study 2019
  • 2021
  • Ingår i: Lancet Neurology. - : Elsevier. - 1474-4422 .- 1474-4465. ; 20:10, s. 795-820
  • Tidskriftsartikel (refereegranskat)abstract
    • Background Regularly updated data on stroke and its pathological types, including data on their incidence, prevalence, mortality, disability, risk factors, and epidemiological trends, are important for evidence-based stroke care planning and resource allocation. The Global Burden of Diseases, Injuries, and Risk Factors Study (GBD) aims to provide a standardised and comprehensive measurement of these metrics at global, regional, and national levels. Methods We applied GBD 2019 analytical tools to calculate stroke incidence, prevalence, mortality, disability-adjusted life-years (DALYs), and the population attributable fraction (PAF) of DALYs (with corresponding 95% uncertainty intervals [UIs]) associated with 19 risk factors, for 204 countries and territories from 1990 to 2019. These estimates were provided for ischaemic stroke, intracerebral haemorrhage, subarachnoid haemorrhage, and all strokes combined, and stratified by sex, age group, and World Bank country income level. Findings In 2019, there were 12.2 million (95% UI 11.0-13.6) incident cases of stroke, 101 million (93.2-111) prevalent cases of stroke, 143 million (133-153) DALYs due to stroke, and 6.55 million (6.00-7.02) deaths from stroke. Globally, stroke remained the second-leading cause of death (11.6% [10.8-12.2] of total deaths) and the third-leading cause of death and disability combined (5.7% [5.1-6.2] of total DALYs) in 2019. From 1990 to 2019, the absolute number of incident strokes increased by 70.0% (67.0-73.0), prevalent strokes increased by 85.0% (83.0-88.0), deaths from stroke increased by 43.0% (31.0-55.0), and DALYs due to stroke increased by 32.0% (22.0-42.0). During the same period, age-standardised rates of stroke incidence decreased by 17.0% (15.0-18.0), mortality decreased by 36.0% (31.0-42.0), prevalence decreased by 6.0% (5.0-7.0), and DALYs decreased by 36.0% (31.0-42.0). However, among people younger than 70 years, prevalence rates increased by 22.0% (21.0-24.0) and incidence rates increased by 15.0% (12.0-18.0). In 2019, the age-standardised stroke-related mortality rate was 3.6 (3.5-3.8) times higher in the World Bank low-income group than in the World Bank high-income group, and the age-standardised stroke-related DALY rate was 3.7 (3.5-3.9) times higher in the low-income group than the high-income group. Ischaemic stroke constituted 62.4% of all incident strokes in 2019 (7.63 million [6.57-8.96]), while intracerebral haemorrhage constituted 27.9% (3.41 million [2.97-3.91]) and subarachnoid haemorrhage constituted 9.7% (1.18 million [1.01-1.39]). In 2019, the five leading risk factors for stroke were high systolic blood pressure (contributing to 79.6 million [67.7-90.8] DALYs or 55.5% [48.2-62.0] of total stroke DALYs), high body-mass index (34.9 million [22.3-48.6] DALYs or 24.3% [15.7-33.2]), high fasting plasma glucose (28.9 million [19.8-41.5] DALYs or 20.2% [13.8-29.1]), ambient particulate matter pollution (28.7 million [23.4-33.4] DALYs or 20.1% [16.6-23.0]), and smoking (25.3 million [22.6-28.2] DALYs or 17.6% [16.4-19.0]). Interpretation The annual number of strokes and deaths due to stroke increased substantially from 1990 to 2019, despite substantial reductions in age-standardised rates, particularly among people older than 70 years. The highest age-standardised stroke-related mortality and DALY rates were in the World Bank low-income group. The fastest-growing risk factor for stroke between 1990 and 2019 was high body-mass index. Without urgent implementation of effective primary prevention strategies, the stroke burden will probably continue to grow across the world, particularly in low-income countries.
  •  
3.
  • Du, Yong, et al. (författare)
  • Investigation of the Heteroepitaxial Process Optimization of Ge Layers on Si (001) by RPCVD
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:4
  • Tidskriftsartikel (refereegranskat)abstract
    • This work presents the growth of high-quality Ge epilayers on Si (001) substrates using a reduced pressure chemical vapor deposition (RPCVD) chamber. Based on the initial nucleation, a low temperature high temperature (LT-HT) two-step approach, we systematically investigate the nucleation time and surface topography, influence of a LT-Ge buffer layer thickness, a HT-Ge growth temperature, layer thickness, and high temperature thermal treatment on the morphological and crystalline quality of the Ge epilayers. It is also a unique study in the initial growth of Ge epitaxy; the start point of the experiments includes Stranski-Krastanov mode in which the Ge wet layer is initially formed and later the growth is developed to form nuclides. Afterwards, a two-dimensional Ge layer is formed from the coalescing of the nuclides. The evolution of the strain from the beginning stage of the growth up to the full Ge layer has been investigated. Material characterization results show that Ge epilayer with 400 nm LT-Ge buffer layer features at least the root mean square (RMS) value and it's threading dislocation density (TDD) decreases by a factor of 2. In view of the 400 nm LT-Ge buffer layer, the 1000 nm Ge epilayer with HT-Ge growth temperature of 650 degrees C showed the best material quality, which is conducive to the merging of the crystals into a connected structure eventually forming a continuous and two-dimensional film. After increasing the thickness of Ge layer from 900 nm to 2000 nm, Ge surface roughness decreased first and then increased slowly (the RMS value for 1400 nm Ge layer was 0.81 nm). Finally, a high-temperature annealing process was carried out and high-quality Ge layer was obtained (TDD=2.78 x 10(7) cm(-2)). In addition, room temperature strong photoluminescence (PL) peak intensity and narrow full width at half maximum (11 meV) spectra further confirm the high crystalline quality of the Ge layer manufactured by this optimized process. This work highlights the inducing, increasing, and relaxing of the strain in the Ge buffer and the signature of the defect formation.
  •  
4.
  • Du, Y., et al. (författare)
  • Strain modulation of selectively and/or globally grown ge layers
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:6
  • Tidskriftsartikel (refereegranskat)abstract
    • This article presents a novel method to grow a high-quality compressive-strain Ge epilayer on Si using the selective epitaxial growth (SEG) applying the RPCVD technique. The procedures are composed of a global growth of Ge layer on Si followed by a planarization using CMP as initial process steps. The growth parameters of the Ge layer were carefully optimized and after cycle-annealing treatments, the threading dislocation density (TDD) was reduced to 3 × 107 cm−2 . As a result of this process, a tensile strain of 0.25% was induced, whereas the RMS value was as low as 0.81 nm. Later, these substrates were covered by an oxide layer and patterned to create trenches for selective epitaxy growth (SEG) of the Ge layer. In these structures, a type of compressive strain was formed in the SEG Ge top layer. The strain amount was −0.34%; meanwhile, the TDD and RMS surface roughness were 2 × 106 cm−2 and 0.68 nm, respectively. HRXRD and TEM results also verified the existence of compressive strain in selectively grown Ge layer. In contrast to the tensile strained Ge layer (globally grown), enhanced PL intensity by a factor of more than 2 is partially due to the improved material quality. The significantly high PL intensity is attributed to the improved crystalline quality of the selectively grown Ge layer. The change in direct bandgap energy of PL was observed, owing to the compressive strain introduced. Hall measurement shows that a selectively grown Ge layer possesses room temperature hole mobility up to 375 cm2/Vs, which is approximately 3 times larger than that of the Ge (132 cm2/Vs). Our work offers fundamental guidance for the growth of high-quality and compressive strain Ge epilayer on Si for future Ge-based optoelectronics integration applications.
  •  
5.
  • Kong, Z., et al. (författare)
  • Growth and Strain Modulation of GeSn Alloys for Photonic and Electronic Applications
  • 2022
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 12:6
  • Tidskriftsartikel (refereegranskat)abstract
    • GeSn materials have attracted considerable attention for their tunable band structures and high carrier mobilities, which serve well for future photonic and electronic applications. This research presents a novel method to incorporate Sn content as high as 18% into GeSn layers grown at 285–320◦C by using SnCl4 and GeH4 precursors. A series of characterizations were performed to study the material quality, strain, surface roughness, and optical properties of GeSn layers. The Sn content could be calculated using lattice mismatch parameters provided by X-ray analysis. The strain in GeSn layers was modulated from fully strained to partially strained by etching Ge buffer into Ge/GeSn heterostructures . In this study, two categories of samples were prepared when the Ge buffer was either laterally etched onto Si wafers, or vertically etched Ge/GeSnOI wafers which bonded to the oxide. In the latter case, the Ge buffer was initially etched step-by-step for the strain relaxation study. Meanwhile, the Ge/GeSn heterostructure in the first group of samples was patterned into the form of micro-disks. The Ge buffer was selectively etched by using a CF4/O2 gas mixture using a plasma etch tool. Fully or partially relaxed GeSn micro-disks showed photoluminescence (PL) at room temperature. PL results showed that red-shift was clearly observed from the GeSn microdisk structure, indicating that the compressive strain in the as-grown GeSn material was partially released. Our results pave the path for the growth of high quality GeSn layers with high Sn content, in addition to methods for modulating the strain for lasing and detection of short-wavelength infrared at room temperature. 
  •  
6.
  • Miao, Y., et al. (författare)
  • Review of Si-based GeSn CVD growth and optoelectronic applications
  • 2021
  • Ingår i: Nanomaterials. - : MDPI. - 2079-4991. ; 11:10
  • Tidskriftsartikel (refereegranskat)abstract
    • GeSn alloys have already attracted extensive attention due to their excellent properties and wide-ranging electronic and optoelectronic applications. Both theoretical and experimental results have shown that direct bandgap GeSn alloys are preferable for Si-based, high-efficiency light source applications. For the abovementioned purposes, molecular beam epitaxy (MBE), physical vapour deposition (PVD), and chemical vapor deposition (CVD) technologies have been extensively explored to grow high-quality GeSn alloys. However, CVD is the dominant growth method in the industry, and it is therefore more easily transferred. This review is focused on the recent progress in GeSn CVD growth (including ion implantation, in situ doping technology, and ohmic contacts), GeSn detectors, GeSn lasers, and GeSn transistors. These review results will provide huge advancements for the research and development of high-performance electronic and optoelectronic devices. © 2021 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
7.
  • Radamson, Henry H., et al. (författare)
  • State of the Art and Future Perspectives in Advanced CMOS Technology
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:8
  • Forskningsöversikt (refereegranskat)abstract
    • The international technology roadmap of semiconductors (ITRS) is approaching the historical end point and we observe that the semiconductor industry is driving complementary metal oxide semiconductor (CMOS) further towards unknown zones. Today's transistors with 3D structure and integrated advanced strain engineering differ radically from the original planar 2D ones due to the scaling down of the gate and source/drain regions according to Moore's law. This article presents a review of new architectures, simulation methods, and process technology for nano-scale transistors on the approach to the end of ITRS technology. The discussions cover innovative methods, challenges and difficulties in device processing, as well as new metrology techniques that may appear in the near future.
  •  
8.
  • Vos, Theo, et al. (författare)
  • Global, regional, and national incidence, prevalence, and years lived with disability for 301 acute and chronic diseases and injuries in 188 countries, 1990-2013: a systematic analysis for the Global Burden of Disease Study 2013
  • 2015
  • Ingår i: The Lancet. - 1474-547X .- 0140-6736. ; 386:9995, s. 743-800
  • Tidskriftsartikel (refereegranskat)abstract
    • Background Up-to-date evidence about levels and trends in disease and injury incidence, prevalence, and years lived with disability (YLDs) is an essential input into global, regional, and national health policies. In the Global Burden of Disease Study 2013 (GBD 2013), we estimated these quantities for acute and chronic diseases and injuries for 188 countries between 1990 and 2013. Methods Estimates were calculated for disease and injury incidence, prevalence, and YLDs using GBD 2010 methods with some important refinements. Results for incidence of acute disorders and prevalence of chronic disorders are new additions to the analysis. Key improvements include expansion to the cause and sequelae list, updated systematic reviews, use of detailed injury codes, improvements to the Bayesian meta-regression method (DisMod-MR), and use of severity splits for various causes. An index of data representativeness, showing data availability, was calculated for each cause and impairment during three periods globally and at the country level for 2013. In total, 35 620 distinct sources of data were used and documented to calculated estimates for 301 diseases and injuries and 2337 sequelae. The comorbidity simulation provides estimates for the number of sequelae, concurrently, by individuals by country, year, age, and sex. Disability weights were updated with the addition of new population-based survey data from four countries. Findings Disease and injury were highly prevalent; only a small fraction of individuals had no sequelae. Comorbidity rose substantially with age and in absolute terms from 1990 to 2013. Incidence of acute sequelae were predominantly infectious diseases and short-term injuries, with over 2 billion cases of upper respiratory infections and diarrhoeal disease episodes in 2013, with the notable exception of tooth pain due to permanent caries with more than 200 million incident cases in 2013. Conversely, leading chronic sequelae were largely attributable to non-communicable diseases, with prevalence estimates for asymptomatic permanent caries and tension-type headache of 2.4 billion and 1.6 billion, respectively. The distribution of the number of sequelae in populations varied widely across regions, with an expected relation between age and disease prevalence. YLDs for both sexes increased from 537.6 million in 1990 to 764.8 million in 2013 due to population growth and ageing, whereas the age-standardised rate decreased little from 114.87 per 1000 people to 110.31 per 1000 people between 1990 and 2013. Leading causes of YLDs included low back pain and major depressive disorder among the top ten causes of YLDs in every country. YLD rates per person, by major cause groups, indicated the main drivers of increases were due to musculoskeletal, mental, and substance use disorders, neurological disorders, and chronic respiratory diseases; however HIV/AIDS was a notable driver of increasing YLDs in sub-Saharan Africa. Also, the proportion of disability-adjusted life years due to YLDs increased globally from 21.1% in 1990 to 31.2% in 2013. Interpretation Ageing of the world's population is leading to a substantial increase in the numbers of individuals with sequelae of diseases and injuries. Rates of YLDs are declining much more slowly than mortality rates. The non-fatal dimensions of disease and injury will require more and more attention from health systems. The transition to non-fatal outcomes as the dominant source of burden of disease is occurring rapidly outside of sub-Saharan Africa. Our results can guide future health initiatives through examination of epidemiological trends and a better understanding of variation across countries.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-8 av 8
Typ av publikation
tidskriftsartikel (7)
forskningsöversikt (1)
Typ av innehåll
refereegranskat (8)
Författare/redaktör
Radamson, Henry H. (5)
Lin, H (3)
Wang, G (3)
Li, B. (2)
Su, J. (2)
Liu, J. (2)
visa fler...
Zhao, X. (2)
Hankey, Graeme J. (2)
Brenner, Hermann (2)
Xu, B (2)
Bensenor, Isabela M. (2)
Dandona, Lalit (2)
Dandona, Rakhi (2)
Farzadfar, Farshad (2)
Feigin, Valery L. (2)
Jonas, Jost B. (2)
Kumar, G. Anil (2)
Malekzadeh, Reza (2)
Mokdad, Ali H. (2)
Naghavi, Mohsen (2)
Roth, Gregory A. (2)
Sepanlou, Sadaf G. (2)
Thrift, Amanda G. (2)
Yonemoto, Naohiro (2)
Yu, Chuanhua (2)
Murray, Christopher ... (2)
Bennett, Derrick A. (2)
Hafezi-Nejad, Nima (2)
Santos, Itamar S. (2)
Sheikhbahaei, Sara (2)
Singh, Jasvinder A. (2)
Tonelli, Marcello (2)
Norrving, Bo (2)
Xu, Buqing (2)
Venketasubramanian, ... (2)
Dong, Y. (2)
Du, Yong (2)
Gupta, Rajeev (2)
Shibuya, Kenji (2)
Krishnamurthi, Rita ... (2)
Basu, Sanjay (2)
Beghi, Ettore (2)
Criqui, Michael H. (2)
Abd-Allah, Foad (2)
Meretoja, Atte (2)
Morawska, Lidia (2)
Rahimi-Movaghar, Vaf ... (2)
Polinder, Suzanne (2)
Dong, Yan (2)
Kong, Zhenzhen (2)
visa färre...
Lärosäte
Karolinska Institutet (2)
Göteborgs universitet (1)
Kungliga Tekniska Högskolan (1)
Uppsala universitet (1)
Stockholms universitet (1)
visa fler...
Lunds universitet (1)
Högskolan Dalarna (1)
visa färre...
Språk
Engelska (8)
Forskningsämne (UKÄ/SCB)
Naturvetenskap (3)
Teknik (2)
Medicin och hälsovetenskap (2)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy