SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Wang Z) ;lar1:(miun)"

Sökning: WFRF:(Wang Z) > Mittuniversitetet

  • Resultat 1-10 av 27
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  • Burstein, R., et al. (författare)
  • Mapping 123 million neonatal, infant and child deaths between 2000 and 2017
  • 2019
  • Ingår i: Nature. - : Nature Publishing Group. - 0028-0836 .- 1476-4687. ; 574:7778, s. 353-358
  • Tidskriftsartikel (refereegranskat)abstract
    • Since 2000, many countries have achieved considerable success in improving child survival, but localized progress remains unclear. To inform efforts towards United Nations Sustainable Development Goal 3.2—to end preventable child deaths by 2030—we need consistently estimated data at the subnational level regarding child mortality rates and trends. Here we quantified, for the period 2000–2017, the subnational variation in mortality rates and number of deaths of neonates, infants and children under 5 years of age within 99 low- and middle-income countries using a geostatistical survival model. We estimated that 32% of children under 5 in these countries lived in districts that had attained rates of 25 or fewer child deaths per 1,000 live births by 2017, and that 58% of child deaths between 2000 and 2017 in these countries could have been averted in the absence of geographical inequality. This study enables the identification of high-mortality clusters, patterns of progress and geographical inequalities to inform appropriate investments and implementations that will help to improve the health of all populations. © 2019, The Author(s).
  •  
2.
  • Barber, R. M., et al. (författare)
  • Healthcare access and quality index based on mortality from causes amenable to personal health care in 195 countries and territories, 1990-2015 : A novel analysis from the global burden of disease study 2015
  • 2017
  • Ingår i: The Lancet. - : Lancet Publishing Group. - 0140-6736 .- 1474-547X. ; 390:10091, s. 231-266
  • Tidskriftsartikel (refereegranskat)abstract
    • Background National levels of personal health-care access and quality can be approximated by measuring mortality rates from causes that should not be fatal in the presence of effective medical care (ie, amenable mortality). Previous analyses of mortality amenable to health care only focused on high-income countries and faced several methodological challenges. In the present analysis, we use the highly standardised cause of death and risk factor estimates generated through the Global Burden of Diseases, Injuries, and Risk Factors Study (GBD) to improve and expand the quantification of personal health-care access and quality for 195 countries and territories from 1990 to 2015. Methods We mapped the most widely used list of causes amenable to personal health care developed by Nolte and McKee to 32 GBD causes. We accounted for variations in cause of death certification and misclassifications through the extensive data standardisation processes and redistribution algorithms developed for GBD. To isolate the effects of personal health-care access and quality, we risk-standardised cause-specific mortality rates for each geography-year by removing the joint effects of local environmental and behavioural risks, and adding back the global levels of risk exposure as estimated for GBD 2015. We employed principal component analysis to create a single, interpretable summary measure-the Healthcare Quality and Access (HAQ) Index-on a scale of 0 to 100. The HAQ Index showed strong convergence validity as compared with other health-system indicators, including health expenditure per capita (r=0·88), an index of 11 universal health coverage interventions (r=0·83), and human resources for health per 1000 (r=0·77). We used free disposal hull analysis with bootstrapping to produce a frontier based on the relationship between the HAQ Index and the Socio-demographic Index (SDI), a measure of overall development consisting of income per capita, average years of education, and total fertility rates. This frontier allowed us to better quantify the maximum levels of personal health-care access and quality achieved across the development spectrum, and pinpoint geographies where gaps between observed and potential levels have narrowed or widened over time. Findings Between 1990 and 2015, nearly all countries and territories saw their HAQ Index values improve; nonetheless, the difference between the highest and lowest observed HAQ Index was larger in 2015 than in 1990, ranging from 28·6 to 94·6. Of 195 geographies, 167 had statistically significant increases in HAQ Index levels since 1990, with South Korea, Turkey, Peru, China, and the Maldives recording among the largest gains by 2015. Performance on the HAQ Index and individual causes showed distinct patterns by region and level of development, yet substantial heterogeneities emerged for several causes, including cancers in highest-SDI countries; chronic kidney disease, diabetes, diarrhoeal diseases, and lower respiratory infections among middle-SDI countries; and measles and tetanus among lowest-SDI countries. While the global HAQ Index average rose from 40·7 (95% uncertainty interval, 39·0-42·8) in 1990 to 53·7 (52·2-55·4) in 2015, far less progress occurred in narrowing the gap between observed HAQ Index values and maximum levels achieved; at the global level, the difference between the observed and frontier HAQ Index only decreased from 21·2 in 1990 to 20·1 in 2015. If every country and territory had achieved the highest observed HAQ Index by their corresponding level of SDI, the global average would have been 73·8 in 2015. Several countries, particularly in eastern and western sub-Saharan Africa, reached HAQ Index values similar to or beyond their development levels, whereas others, namely in southern sub-Saharan Africa, the Middle East, and south Asia, lagged behind what geographies of similar development attained between 1990 and 2015. Interpretation This novel extension of the GBD Study shows the untapped potential for personal health-care access and quality improvement across the development spectrum. Amid substantive advances in personal health care at the national level, heterogeneous patterns for individual causes in given countries or territories suggest that few places have consistently achieved optimal health-care access and quality across health-system functions and therapeutic areas. This is especially evident in middle-SDI countries, many of which have recently undergone or are currently experiencing epidemiological transitions. The HAQ Index, if paired with other measures of health-system characteristics such as intervention coverage, could provide a robust avenue for tracking progress on universal health coverage and identifying local priorities for strengthening personal health-care quality and access throughout the world. Copyright © The Author(s). Published by Elsevier Ltd.
  •  
3.
  • Hay, S. I., et al. (författare)
  • Global, regional, and national disability-adjusted life-years (DALYs) for 333 diseases and injuries and healthy life expectancy (HALE) for 195 countries and territories, 1990-2016 : A systematic analysis for the Global Burden of Disease Study 2016
  • 2017
  • Ingår i: The Lancet. - : Lancet Publishing Group. - 0140-6736 .- 1474-547X. ; 390:10100, s. 1260-1344
  • Tidskriftsartikel (refereegranskat)abstract
    • Background: Measurement of changes in health across locations is useful to compare and contrast changing epidemiological patterns against health system performance and identify specific needs for resource allocation in research, policy development, and programme decision making. Using the Global Burden of Diseases, Injuries, and Risk Factors Study 2016, we drew from two widely used summary measures to monitor such changes in population health: disability-adjusted life-years (DALYs) and healthy life expectancy (HALE). We used these measures to track trends and benchmark progress compared with expected trends on the basis of the Socio-demographic Index (SDI). Methods: We used results from the Global Burden of Diseases, Injuries, and Risk Factors Study 2016 for all-cause mortality, cause-specific mortality, and non-fatal disease burden to derive HALE and DALYs by sex for 195 countries and territories from 1990 to 2016. We calculated DALYs by summing years of life lost and years of life lived with disability for each location, age group, sex, and year. We estimated HALE using age-specific death rates and years of life lived with disability per capita. We explored how DALYs and HALE difered from expected trends when compared with the SDI: the geometric mean of income per person, educational attainment in the population older than age 15 years, and total fertility rate. Findings: The highest globally observed HALE at birth for both women and men was in Singapore, at 75·2 years (95% uncertainty interval 71·9-78·6) for females and 72·0 years (68·8-75·1) for males. The lowest for females was in the Central African Republic (45·6 years [42·0-49·5]) and for males was in Lesotho (41·5 years [39·0-44·0]). From 1990 to 2016, global HALE increased by an average of 6·24 years (5·97-6·48) for both sexes combined. Global HALE increased by 6·04 years (5·74-6·27) for males and 6·49 years (6·08-6·77) for females, whereas HALE at age 65 years increased by 1·78 years (1·61-1·93) for males and 1·96 years (1·69-2·13) for females. Total global DALYs remained largely unchanged from 1990 to 2016 (-2·3% [-5·9 to 0·9]), with decreases in communicable, maternal, neonatal, and nutritional (CMNN) disease DALYs ofset by increased DALYs due to non-communicable diseases (NCDs). The exemplars, calculated as the fve lowest ratios of observed to expected age-standardised DALY rates in 2016, were Nicaragua, Costa Rica, the Maldives, Peru, and Israel. The leading three causes of DALYs globally were ischaemic heart disease, cerebrovascular disease, and lower respiratory infections, comprising 16·1% of all DALYs. Total DALYs and age-standardised DALY rates due to most CMNN causes decreased from 1990 to 2016. Conversely, the total DALY burden rose for most NCDs; however, age-standardised DALY rates due to NCDs declined globally. Interpretation: At a global level, DALYs and HALE continue to show improvements. At the same time, we observe that many populations are facing growing functional health loss. Rising SDI was associated with increases in cumulative years of life lived with disability and decreases in CMNN DALYs ofset by increased NCD DALYs. Relative compression of morbidity highlights the importance of continued health interventions, which has changed in most locations in pace with the gross domestic product per person, education, and family planning. The analysis of DALYs and HALE and their relationship to SDI represents a robust framework with which to benchmark location-specific health performance. Country-specific drivers of disease burden, particularly for causes with higher-than-expected DALYs, should inform health policies, health system improvement initiatives, targeted prevention eforts, and development assistance for health, including fnancial and research investments for all countries, regardless of their level of sociodemographic development. The presence of countries that substantially outperform others suggests the need for increased scrutiny for proven examples of best practices, which can help to extend gains, whereas the presence of underperforming countries suggests the need for devotion of extra attention to health systems that need more robust support. © The Author(s). Published by Elsevier Ltd. This is an Open Access article under the CC BY 4.0 license.
  •  
4.
  • Yin, X., et al. (författare)
  • Vertical Sandwich Gate-All-Around Field-Effect Transistors with Self-Aligned High-k Metal Gates and Small Effective-Gate-Length Variation
  • 2020
  • Ingår i: IEEE Electron Device Letters. - : Institute of Electrical and Electronics Engineers Inc.. - 0741-3106 .- 1558-0563. ; 41:1, s. 8-11
  • Tidskriftsartikel (refereegranskat)abstract
    • A new type of vertical nanowire (NW)/nanosheet (NS) field-effect transistors (FETs), termed vertical sandwich gate-all-around (GAA) FETs (VSAFETs), is presented in this work. Moreover, an integration flow that is compatible with processes used in the mainstream industry is proposed for the VSAFETs. Si/SiGe epitaxy, isotropic quasi-atomic-layer etching (qALE), and gate replacement were used to fabricate pVSAFETs for the first time. Vertical GAA FETs with self-aligned high-k metal gates and a small effective-gate-length variation were obtained. Isotropic qALE, including Si-selective etching of SiGe, was developed to control the diameter/thickness of the NW/NS channels. NWs with a diameter of 10 nm and NSs with a thickness of 20 nm were successfully fabricated, and good device characteristics were obtained. Finally, the device performance was investigated and is discussed in this work. © 2019 IEEE.
  •  
5.
  • Forouzanfar, Mohammad H, et al. (författare)
  • Global, regional, and national comparative risk assessment of 79 behavioural, environmental and occupational, and metabolic risks or clusters of risks in 188 countries, 1990-2013 : a systematic analysis for the Global Burden of Disease Study 2013.
  • 2015
  • Ingår i: The Lancet. - 0140-6736 .- 1474-547X. ; 386:10010, s. 2287-2323
  • Tidskriftsartikel (refereegranskat)abstract
    • BACKGROUND: The Global Burden of Disease, Injuries, and Risk Factor study 2013 (GBD 2013) is the first of a series of annual updates of the GBD. Risk factor quantification, particularly of modifiable risk factors, can help to identify emerging threats to population health and opportunities for prevention. The GBD 2013 provides a timely opportunity to update the comparative risk assessment with new data for exposure, relative risks, and evidence on the appropriate counterfactual risk distribution.METHODS: Attributable deaths, years of life lost, years lived with disability, and disability-adjusted life-years (DALYs) have been estimated for 79 risks or clusters of risks using the GBD 2010 methods. Risk-outcome pairs meeting explicit evidence criteria were assessed for 188 countries for the period 1990-2013 by age and sex using three inputs: risk exposure, relative risks, and the theoretical minimum risk exposure level (TMREL). Risks are organised into a hierarchy with blocks of behavioural, environmental and occupational, and metabolic risks at the first level of the hierarchy. The next level in the hierarchy includes nine clusters of related risks and two individual risks, with more detail provided at levels 3 and 4 of the hierarchy. Compared with GBD 2010, six new risk factors have been added: handwashing practices, occupational exposure to trichloroethylene, childhood wasting, childhood stunting, unsafe sex, and low glomerular filtration rate. For most risks, data for exposure were synthesised with a Bayesian meta-regression method, DisMod-MR 2.0, or spatial-temporal Gaussian process regression. Relative risks were based on meta-regressions of published cohort and intervention studies. Attributable burden for clusters of risks and all risks combined took into account evidence on the mediation of some risks such as high body-mass index (BMI) through other risks such as high systolic blood pressure and high cholesterol.FINDINGS: All risks combined account for 57·2% (95% uncertainty interval [UI] 55·8-58·5) of deaths and 41·6% (40·1-43·0) of DALYs. Risks quantified account for 87·9% (86·5-89·3) of cardiovascular disease DALYs, ranging to a low of 0% for neonatal disorders and neglected tropical diseases and malaria. In terms of global DALYs in 2013, six risks or clusters of risks each caused more than 5% of DALYs: dietary risks accounting for 11·3 million deaths and 241·4 million DALYs, high systolic blood pressure for 10·4 million deaths and 208·1 million DALYs, child and maternal malnutrition for 1·7 million deaths and 176·9 million DALYs, tobacco smoke for 6·1 million deaths and 143·5 million DALYs, air pollution for 5·5 million deaths and 141·5 million DALYs, and high BMI for 4·4 million deaths and 134·0 million DALYs. Risk factor patterns vary across regions and countries and with time. In sub-Saharan Africa, the leading risk factors are child and maternal malnutrition, unsafe sex, and unsafe water, sanitation, and handwashing. In women, in nearly all countries in the Americas, north Africa, and the Middle East, and in many other high-income countries, high BMI is the leading risk factor, with high systolic blood pressure as the leading risk in most of Central and Eastern Europe and south and east Asia. For men, high systolic blood pressure or tobacco use are the leading risks in nearly all high-income countries, in north Africa and the Middle East, Europe, and Asia. For men and women, unsafe sex is the leading risk in a corridor from Kenya to South Africa.INTERPRETATION: Behavioural, environmental and occupational, and metabolic risks can explain half of global mortality and more than one-third of global DALYs providing many opportunities for prevention. Of the larger risks, the attributable burden of high BMI has increased in the past 23 years. In view of the prominence of behavioural risk factors, behavioural and social science research on interventions for these risks should be strengthened. Many prevention and primary care policy options are available now to act on key risks.FUNDING: Bill & Melinda Gates Foundation.
  •  
6.
  • Khalil, I., et al. (författare)
  • Transport injuries and deaths in the Eastern Mediterranean Region : findings from the Global Burden of Disease 2015 Study
  • 2018
  • Ingår i: International Journal of Public Health. - : Springer International Publishing. - 1661-8556 .- 1661-8564. ; 63, s. 187-198
  • Tidskriftsartikel (refereegranskat)abstract
    • Objectives: Transport injuries (TI) are ranked as one of the leading causes of death, disability, and property loss worldwide. This paper provides an overview of the burden of TI in the Eastern Mediterranean Region (EMR) by age and sex from 1990 to 2015. Methods: Transport injuries mortality in the EMR was estimated using the Global Burden of Disease mortality database, with corrections for ill-defined causes of death, using the cause of death ensemble modeling tool. Morbidity estimation was based on inpatient and outpatient datasets, 26 cause-of-injury and 47 nature-of-injury categories. Results: In 2015, 152,855 (95% uncertainty interval: 137,900–168,100) people died from TI in the EMR countries. Between 1990 and 2015, the years of life lost (YLL) rate per 100,000 due to TI decreased by 15.5%, while the years lived with disability (YLD) rate decreased by 10%, and the age-standardized disability-adjusted life years (DALYs) rate decreased by 16%. Conclusions: Although the burden of TI mortality and morbidity decreased over the last two decades, there is still a considerable burden that needs to be addressed by increasing awareness, enforcing laws, and improving road conditions. © 2017, The Author(s).
  •  
7.
  • Li, J., et al. (författare)
  • Study of silicon nitride inner spacer formation in process of gate-all-around nano-transistors
  • 2020
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:4
  • Tidskriftsartikel (refereegranskat)abstract
    • Stacked SiGe/Si structures are widely used as the units for gate-all-around nanowire transistors (GAA NWTs) which are a promising candidate beyond fin field effective transistors (FinFETs) technologies in near future. These structures deal with a several challenges brought by the shrinking of device dimensions. The preparation of inner spacers is one of the most critical processes for GAA nano-scale transistors. This study focuses on two key processes: Inner spacer film conformal deposition and accurate etching. The results show that low pressure chemical vapor deposition (LPCVD) silicon nitride has a good film filling effect; a precise and controllable silicon nitride inner spacer structure is prepared by using an inductively coupled plasma (ICP) tool and a new gas mixtures of CH2F2/CH4/O2/Ar. Silicon nitride inner spacer etch has a high etch selectivity ratio, exceeding 100:1 to Si and more than 30:1 to SiO2. High anisotropy with an excellent vertical/lateral etch ratio exceeding 80:1 is successfully demonstrated. It also provides a solution to the key process challenges of nano-transistors beyond 5 nm node. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.
  •  
8.
  • Mokdad, A. H., et al. (författare)
  • Intentional injuries in the Eastern Mediterranean Region, 1990–2015 : findings from the Global Burden of Disease 2015 study
  • 2018
  • Ingår i: International Journal of Public Health. - : Springer International Publishing. - 1661-8556 .- 1661-8564. ; 63, s. 39-46
  • Tidskriftsartikel (refereegranskat)abstract
    • Objectives: We used GBD 2015 findings to measure the burden of intentional injuries in the Eastern Mediterranean Region (EMR) between 1990 and 2015. Methods: The Global Burden of Disease (GBD) study defines intentional injuries as a combination of self-harm (including suicide), interpersonal violence, collective violence (war), and legal intervention. We estimated number of deaths, years of life lost (YLLs), years lived with disability (YLDs), and disability-adjusted life years (DALYs) for each type of intentional injuries. Results: In 2015, 28,695 individuals (95% UI: 25,474–37,832) died from self-harm, 35,626 (95% UI: 20,947–41,857) from interpersonal violence, and 143,858 (95% UI: 63,554–223,092) from collective violence and legal interventions. In 2015, collective violence and legal intervention was the fifth-leading cause of DALYs in the EMR and the leading cause in Syria, Yemen, Iraq, Afghanistan, and Libya; they account for 49.7% of total DALYs in Syria. Conclusions: Our findings call for increased efforts to stabilize the region and assist in rebuilding the health systems, as well as increasing transparency and employing preventive strategies to reduce self-harm and interpersonal injuries. © 2017, The Author(s).
  •  
9.
  • Li, J., et al. (författare)
  • Study of selective isotropic etching Si1−xGex in process of nanowire transistors
  • 2020
  • Ingår i: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 31:1, s. 134-143
  • Tidskriftsartikel (refereegranskat)abstract
    • On approach towards the end of technology roadmap, a revolutionary approach towards the nanowire transistors is favorable due to the full control of carrier transport. The transistor design moves toward vertically or laterally stacked Gate-All-Around (GAA) where Si or SiGe can be used as channel material. This study presents a novel isotropic inductively coupled plasma (ICP) dry etching of Si1−xGex (0.10 ≤ x ≤ 0.28) in SiGe/Si multilayer structures (MLSs) with high selectivity to Si, SiO2, Si3N4 and SiON which can be applied in advanced 3D transistors and Micro-Electro-Mechanical System (MEMS) in future. The profile of SiGe etching for different thicknesses, compositions and locations in MLSs using dry or wet etch have been studied. A special care has been spent for layer quality of Si, strain relaxation of SiGe layers as well as residual contamination during the etching. In difference with dry etching methods (downstream remote plasma), the conventional ICP source in situ is used where CF4/O2/He gas mixture was used as the etching gas to obtain higher selectivity. Based on the reliability of ICP technique a range of etching rate 25–50 nm/min can be obtained for accurate isotropic etching of Si1−xGex, to form cavity in advanced 3D transistor processes in future.
  •  
10.
  • Du, Y., et al. (författare)
  • Strain modulation of selectively and/or globally grown ge layers
  • 2021
  • Ingår i: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:6
  • Tidskriftsartikel (refereegranskat)abstract
    • This article presents a novel method to grow a high-quality compressive-strain Ge epilayer on Si using the selective epitaxial growth (SEG) applying the RPCVD technique. The procedures are composed of a global growth of Ge layer on Si followed by a planarization using CMP as initial process steps. The growth parameters of the Ge layer were carefully optimized and after cycle-annealing treatments, the threading dislocation density (TDD) was reduced to 3 × 107 cm−2 . As a result of this process, a tensile strain of 0.25% was induced, whereas the RMS value was as low as 0.81 nm. Later, these substrates were covered by an oxide layer and patterned to create trenches for selective epitaxy growth (SEG) of the Ge layer. In these structures, a type of compressive strain was formed in the SEG Ge top layer. The strain amount was −0.34%; meanwhile, the TDD and RMS surface roughness were 2 × 106 cm−2 and 0.68 nm, respectively. HRXRD and TEM results also verified the existence of compressive strain in selectively grown Ge layer. In contrast to the tensile strained Ge layer (globally grown), enhanced PL intensity by a factor of more than 2 is partially due to the improved material quality. The significantly high PL intensity is attributed to the improved crystalline quality of the selectively grown Ge layer. The change in direct bandgap energy of PL was observed, owing to the compressive strain introduced. Hall measurement shows that a selectively grown Ge layer possesses room temperature hole mobility up to 375 cm2/Vs, which is approximately 3 times larger than that of the Ge (132 cm2/Vs). Our work offers fundamental guidance for the growth of high-quality and compressive strain Ge epilayer on Si for future Ge-based optoelectronics integration applications.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 27

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy