SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Akan Rabia) "

Search: WFRF:(Akan Rabia)

  • Result 1-8 of 8
Sort/group result
   
EnumerationReferenceCoverFind
1.
  •  
2.
  • Akan, Rabia, et al. (author)
  • Metal-Assisted Chemical Etching and Electroless Deposition for Fabrication of Hard X-ray Pd/Si Zone Plates
  • 2020
  • In: Micromachines. - : MDPI. - 2072-666X. ; 11:3
  • Journal article (peer-reviewed)abstract
    • Zone plates are diffractive optics commonly used in X-ray microscopes. Here, we present a wet-chemical approach for fabricating high aspect ratio Pd/Si zone plate optics aimed at the hard X-ray regime. A Si zone plate mold is fabricated via metal-assisted chemical etching (MACE) and further metalized with Pd via electroless deposition (ELD). MACE results in vertical Si zones with high aspect ratios. The observed MACE rate with our zone plate design is 700 nm/min. The ELD metallization yields a Pd density of 10.7 g/cm3, a value slightly lower than the theoretical density of 12 g/cm3. Fabricated zone plates have a grid design, 1:1 line-to-space-ratio, 30 nm outermost zone width, and an aspect ratio of 30:1. At 9 keV X-ray energy, the zone plate device shows a first order diffraction efficiency of 1.9%, measured at the MAX IV NanoMAX beamline. With this work, the possibility is opened to fabricate X-ray zone plates with low-cost etching and metallization methods.
  •  
3.
  • Akan, Rabia (author)
  • Metal-assisted chemical etching for nanofabrication of hard X-ray zone plates
  • 2021
  • Doctoral thesis (other academic/artistic)abstract
    • Hard X-ray scanning microscopes, or nanoprobes, make it possible to image samples and probe their chemical, elemental and structural properties at nanoscale resolution. This is enabled by the use of nanofocusing optics. Commonly used optics in nanoprobes for high resolution X-ray experiments are zone plates. Zone plates are circular diffraction optics with radially decreasing grating periods. Their performance depends on their geometrical properties and material. The width of the outermost zone, which today is in the order of a few tens of nanometers, defines the zone plate resolution, while the zone thickness and the material define the X-ray focusing efficiency. For hard X-ray zone plates, the required zone thickness is several micrometers. Therefore, high-aspect ratio nanostructures are a prerequisite for high-resolution, high-efficiency zone plates. The very small structures together with the high-aspect ratios make zone plates one of the most challenging devices to fabricate. A wet-chemical nanofabrication process that has proved its capability of providing silicon nanostructures with ultra-high aspect ratios is metal-assisted chemical etching (MACE). MACE is an electroless, autocatalytic pattern transfer method that uses an etching solution to selectively etch a predefined noble metal pattern into silicon. In this thesis, MACE is optimized specifically for zone plate nanostructures and used in the development of a new zone plate device nanofabrication process. The MACE optimization for silicon zone plate nanostructures involved a systematic investigation of a wide parameter space. The preferable etching solution composition, process temperature, zone plate catalyst design and silicon type were identified. Parameter dependencies were characterized with respect to etching depth and verticality, mechanical stability of zones and silicon surface roughness. Zone plate molds with aspect ratios of 30:1 at 30 nm zone widths were nanofabricated using the optimized MACE process. For use with hard X-rays, the silicon molds were metallized with palladium using electroless deposition (ELD). The first order diffraction efficiency of such a palladium/silicon zone plate was characterized as 1.9 %. Both MACE for the zone plate pattern transfer and ELD for the silicon mold metalization are conceptually simple, relatively low-cost and accessible methods, which opens up for further developments of zone plate device nanofabrication processes.
  •  
4.
  •  
5.
  • Akan, Rabia, et al. (author)
  • Optimization of Metal-Assisted Chemical Etching for Deep Silicon Nanostructures
  • 2021
  • In: Nanomaterials. - : MDPI AG. - 2079-4991. ; 11:11
  • Journal article (peer-reviewed)abstract
    • High-aspect ratio silicon (Si) nanostructures are important for many applications. Metal-assisted chemical etching (MACE) is a wet-chemical method used for the fabrication of nanostructured Si. Two main challenges exist with etching Si structures in the nanometer range with MACE: keeping mechanical stability at high aspect ratios and maintaining a vertical etching profile. In this work, we investigated the etching behavior of two zone plate catalyst designs in a systematic manner at four different MACE conditions as a function of mechanical stability and etching verticality. The zone plate catalyst designs served as models for Si nanostructures over a wide range of feature sizes ranging from 850 nm to 30 nm at 1:1 line-to-space ratio. The first design was a grid-like, interconnected catalyst (brick wall) and the second design was a hybrid catalyst that was partly isolated, partly interconnected (fishbone). Results showed that the brick wall design was mechanically stable up to an aspect ratio of 30:1 with vertical Si structures at most investigated conditions. The fishbone design showed higher mechanical stability thanks to the Si backbone in the design, but on the other hand required careful control of the reaction kinetics for etching verticality. The influence of MACE reaction kinetics was identified by lowering the oxidant concentration, lowering the processing temperature and by isopropanol addition. We report an optimized MACE condition to achieve an aspect ratio of at least 100:1 at room temperature processing by incorporating isopropanol in the etching solution.
  •  
6.
  • Akan, Rabia, et al. (author)
  • Reaction control of metal-assisted chemical etching for silicon-based zone plate nanostructures
  • Other publication (other academic/artistic)abstract
    • Metal-assisted chemical etching (MACE) reaction parameters were investigated for the fabrication of specially designed silicon-based x-ray zone plate nanostructures using a gold catalyst pattern and etching solutions composed of HF and H2O2. Etching depth, zone verticality and zone roughness were studied as a function of etching solution composition, temperature and processing time. Homogeneous, vertical etching with increasing depth is observed at increasing H2O2 concentrations and elevated processing temperatures, implying a balance in the hole injection and silica dissolution kinetics at the gold-silicon interface. The etching depth decreases and zone roughness increases at the highest investigated H2O2 concentration and temperature. Possible reasons for these observations are discussed based on reaction chemistry and zone plate design. Optimum MACE conditions are found at HF:H2O2 concentrations of 4.7 M:0.68 M and room temperature with an etching rate of 0.7 micrometers per minute, which is about an order of magnitude higher than previous reports. Moreover, our results show that a grid catalyst design is important for successful fabrication of vertical high aspect ratio silicon nanostructures.
  •  
7.
  • Akan, Rabia, et al. (author)
  • Reaction control of metal-assisted chemical etching for silicon-based zone plate nanostructures
  • 2018
  • In: RSC Advances. - : Royal Society of Chemistry. - 2046-2069. ; 8:23, s. 12628-12634
  • Journal article (peer-reviewed)abstract
    • Metal-assisted chemical etching (MACE) reaction parameters were investigated for the fabrication of specially designed silicon-based X-ray zone plate nanostructures using a gold catalyst pattern and etching solutions composed of HF and H2O2. Etching depth, zone verticality and zone roughness were studied as a function of etching solution composition, temperature and processing time. Homogeneous, vertical etching with increasing depth is observed at increasing H2O2 concentrations and elevated processing temperatures, implying a balance in the hole injection and silica dissolution kinetics at the gold-silicon interface. The etching depth decreases and zone roughness increases at the highest investigated H2O2 concentration and temperature. Possible reasons for these observations are discussed based on reaction chemistry and zone plate design. Optimum MACE conditions are found at HFH2O2 concentrations of 4.7 M:0.68 M and room temperature with an etching rate of ≈0.7 μm min-1, which is about an order of magnitude higher than previous reports. Moreover, our results show that a grid catalyst design is important for successful fabrication of vertical high aspect ratio silicon nanostructures. 
  •  
8.
  • Parfeniukas, Karolis, et al. (author)
  • High-aspect ratio zone plate fabrication for hard x-ray nanoimaging
  • 2017
  • In: Advances in X-Ray/EUV Optics and Components XII. - : SPIE - International Society for Optical Engineering. - 9781510612303 - 9781510612297
  • Conference paper (peer-reviewed)abstract
    • We present our results in fabricating Fresnel zone plate optics for the NanoMAX beamline at the fourth-generation synchrotron radiation facility MAX IV, to be used in the energy range of 6-10 keV. The results and challenges of tungsten nanofabrication are discussed, and an alternative approach using metal-assisted chemical etching (MACE) of silicon is showcased. We successfully manufactured diffraction-limited zone plates in tungsten with 30 nm outermost zone width and an aspect ratio of 21:1. These optics were used for nanoimaging experiments at NanoMAX. However, we found it challenging to further improve resolution and diffraction efficiency using tungsten. High efficiency is desirable to fully utilize the advantage of increased coherence on the optics at MAX IV. Therefore, we started to investigate MACE of silicon for the nanofabrication of high-resolution and high-efficiency zone plates. The first type of structures we propose use the silicon directly as the phase-shifting material. We have achieved 6 mu m deep dense vertical structures with 100 nm linewidth. The second type of optics use iridium as the phase material. The structures in the silicon substrate act as a mold for iridium coating via atomic layer deposition (ALD). A semi-dense pattern is used with line-to-space ratio of 1:3 for a so-called frequency-doubled zone plate. This way, it is possible to produce smaller structures with the tradeoff of the additional ALD step. We have fabricated 45 nm-wide and 3.6 mu m-tall silicon/iridium structures.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-8 of 8

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view