SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Naureen Shagufta) "

Search: WFRF:(Naureen Shagufta)

  • Result 1-10 of 20
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • Anand, Srinivasan, et al. (author)
  • InP-based photonic crystal waveguide filters
  • 2010
  • In: 2010 Asia Communications and Photonics Conference and Exhibition, ACP 2010. - 9781424471119 ; , s. 104-105
  • Conference paper (peer-reviewed)
  •  
2.
  • Dhaka, Veer, et al. (author)
  • Protective capping and surface passivation of III-V nanowires by atomic layer deposition
  • 2016
  • In: AIP Advances. - : American Institute of Physics (AIP). - 2158-3226. ; 6:1
  • Journal article (peer-reviewed)abstract
    • Low temperature (similar to 200 degrees C) grown atomic layer deposition (ALD) films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2 angstrom) film. For InP NWs, the best passivation (similar to 2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.
  •  
3.
  • Ivanov, Ruslan, et al. (author)
  • T2SL development for space at IRnova : from eSWIR to VLWIR
  • 2019
  • In: Sensors, Systems, and Next-Generation Satellites XXIII. - : SPIE - International Society for Optical Engineering. - 9781510630062
  • Conference paper (peer-reviewed)abstract
    • In this paper, results from the development of InAs/GaSb superlattice focal plane arrays (FPAs) at IRnova will be presented. A versatile and robust detector design is used that allows for adjustment of the detection cut-off wavelength from 2.5 mu m up to 14.5 mu m with only minor changes in the detector design. Performance of the fabricated detectors has been reviewed in terms of external quantum efficiency (EQE), dark current and noise for three designs with cut-off wavelengths of 4, 5.5 and 11 mu m at 80 K (referred to as DEEP BLUE, RED HOT and VLWIR, respectively). Measurements on the 15 mu m sized photodiodes demonstrated 70 % EQE for the MWIR designs, and almost 40 % for VLWIR. At the same time, the dark current stayed close to the Rule07 benchmark for all studied samples. Noise mechanisms have been discussed and their relation to the passivation was examined. Mature in-house processing and passivation technique resulted in very high spatial uniformity of VGA focal plane arrays (FPAs), i.e. low relative deviations of EQE (< 6 %) and of dark current density (< 12 %), and narrow noise distributions for both RED HOT and DEEP BLUE FPAs. We show also that >99.5 % of these arrays operate close to the fundamental noise limit.
  •  
4.
  • Kolahdouz, Z., et al. (author)
  • Substrate engineering for Ni-assisted growth of carbon nano-tubes
  • 2012
  • In: Materials Science & Engineering. - : Elsevier BV. - 0921-5107 .- 1873-4944. ; 177:17, s. 1542-1546
  • Journal article (peer-reviewed)abstract
    • The growth of carbon multi-walled nano-tubes (MWCNTs) using metal catalyst (e.g. Ni, Co, and Fe) has been extensively investigated during the last decade. In general, the physical properties of CNTs depend on the type, quality and diameter of the tubes. One of the parameters which affects the diameter of a MWCNT is the size of the catalyst metal islands. Considering Ni as the metal catalyst, the formed silicide layer agglomerates (island formation) after a thermal treatment. One way to decrease the size of Ni islands is to apply SiGe as the base for the growth. In this study, different methods based on substrate engineering are proposed to change/control the MWCNT diameters. These include (i) well-controlled oxide openings containing Ni to miniaturize the metal island size, and (ii) growth on strained or partially relaxed SiGe layers for smaller Ni silicide islands.
  •  
5.
  • Li, Mingyu, et al. (author)
  • Fabrication of Submicrometer InP Pillars by Colloidal Lithography and Dry Etching
  • 2010
  • In: Journal of the Electrochemical Society. - : The Electrochemical Society. - 0013-4651 .- 1945-7111. ; 157:9, s. II896-II899
  • Journal article (peer-reviewed)abstract
    • A simple method for the fabrication of submicrometer InP pillars with large surface area coverage has been developed based on a combination of colloidal lithography and inductively coupled plasma (ICP) etching technique using Cl-2/H-2/CH4/Ar chemistry. Pillars with different sizes could be fabricated by using colloidal SiO2 particles with different sizes dispersed on the sample serving as masks. Pillars with lateral diameters as small as 60 nm and aspect ratios as high as 10: 1 have been obtained. The effects of etch parameters such as radio-frequency power, ICP power, and etching time on pillar fabrication are investigated. By a suitable choice of etch parameters and utilizing erosion of colloidal (mask) SiO2 particle during etching, the height of the pillars as well as their shape can be modified from nearly cylindrical to conical shapes. Such a control on the shape of the structures in addition to the large surface coverage could be useful for applications in photovoltaics and for the fabrication of photonic crystals. For instance, continuous grading of the refractive index can be obtained for surfaces covered with conical pillars, which can be used as antireflecting surfaces in solar cells or for light extraction in light emitting diodes.
  •  
6.
  • Naureen, Shagufta, et al. (author)
  • Carrier dynamics in InP nanopillar arrays fabricated by low-damage etching
  • 2013
  • In: Applied Physics Letters. - : American Institute of Physics (AIP). - 0003-6951 .- 1077-3118. ; 102:21, s. 212106-
  • Journal article (peer-reviewed)abstract
    • We present a comprehensive characterization of the optical quality of InP nanopillars (NPs) fabricated by a top down approach using micro-photoluminescence (mu-PL), time-resolved PL, and cathodoluminescence (CL). A lattice matched InGaAs layer provided beneath the 1 mu m tall NPs functions as a "detector" in CL for monitoring carrier diffusion in InP NP. Carrier feeding to the InGaAs layer indicated by a double exponential PL decay is confirmed through CL mapping. Carrier lifetimes of over 1 ns and the appreciably long diffusion lengths (400-700 nm) in the InP NPs indicate very low surface damage making them attractive for optoelectronic applications.
  •  
7.
  • Naureen, Shagufta, et al. (author)
  • Generation of substrate-free III-V nanodisks from user-defined multilayer nanopillar arrays for integration on Si
  • 2013
  • In: Nanotechnology. - : IOP Publishing. - 0957-4484 .- 1361-6528. ; 24:22, s. 225301-
  • Journal article (peer-reviewed)abstract
    • High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multilayer stacks with specific materials and layer thicknesses. An additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multilayer stacks. Pre-defined NP arrays made from InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. The transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photoluminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III-V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III-V substrates for subsequent layer growth.
  •  
8.
  • Naureen, Shagufta, et al. (author)
  • Generation of substrate free III-V nanodisksfrom user-defined multilayer nanopillar arrays
  • Journal article (other academic/artistic)abstract
    • High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multi-layer stacks with specific materials and layer thickness. Additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multi-layer stacks. Pre-defined NP arrays made in InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. It is shown that the transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photo-luminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar-cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III-V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III-V substrates for subsequent layer growth.
  •  
9.
  • Naureen, Shagufta, et al. (author)
  • High Optical Quality InP-Based Nanopillars Fabricated by a Top-Down Approach
  • 2011
  • In: Nano letters (Print). - : American Chemical Society (ACS). - 1530-6984 .- 1530-6992. ; 11:11, s. 4805-4811
  • Journal article (peer-reviewed)abstract
    • Dense and uniform arrays of Top-based nanopillars were fabricated by dry etching using self-assembly of colloidal silica particles for masking. The pillars, both single and arrays, fabricated from epitaxially grown InP and InP/GaInAsP/InP quantum well structures :how excellent photoluminescence (PL) even at room temperature. The measured PL line widths are comparable to the as-grown wafer indicating high quality fabricated pillars. A stamping technique enables transfer with arbitrary densities of the nanopillars freed from the substrate by selectively etching a sacrificial InGaAs layer.
  •  
10.
  • Naureen, Shagufta, et al. (author)
  • Nanostructuring of InP by colloidal lithography and ICP etching for photovoltaic applications
  • 2011
  • In: Conference Proceedings - International Conference on Indium Phosphide and Related Materials. - 9783800733569
  • Conference paper (peer-reviewed)abstract
    • We demonstrate a simple and cost effective method to fabricate InP nanopillars using silica particles as masks for etching InP. Oxygen plasma treatment of InP surfaces before dispersion of colloidal mask particles improved surface wettability significantly and helped in uniform coverage of the particles over large areas. Pillars with varied sizes were fabricated by dispersing colloidal SiO2 with different sizes on the sample and/or by reducing size of particles after dispersion. Nanopillars with different heights and shapes from near cylindrical to conical were obtained by varying etch process parameters and by progressive erosion of colloidal SiO 2 particle (mask). Pillars with aspect ratios in excess of 15:1 have been obtained. Investigations are also made on regular close packed hexagonal structures with wide area coverage. Size reduction of colloidal particles after dispersion is used to overcome the lag effect observed in the etching of close packed structures. The demonstrated nanostructuring method is attractive for producing photonic crystals and antireflecting surfaces in solar cells.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-10 of 20

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view