SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "L773:0734 211X "

Search: L773:0734 211X

  • Result 1-25 of 32
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • ANDERSEN, JN, et al. (author)
  • SURFACE RELATED CORE LEVEL SHIFTS FOR THE SI(111)SQUARE-ROOT-3X SQUARE-ROOT-3 - AL SYSTEM
  • 1991
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 9:4, s. 2384-2387
  • Journal article (peer-reviewed)abstract
    • The Si(111) square-root 3 x square-root 3:Al reconstruction has been studied by surface sensitive high resolution core level spectroscopy. It is shown that three components are needed to fit the Si 2p spectra. The Al2p emission is found to consist of more than one component and it is argued that this is related to defects in the overlayer.
  •  
2.
  • Bilenberg, B, et al. (author)
  • Topas-based lab-on-a-chip microsystems fabricated by thermal nanoimprint lithography
  • 2005
  • In: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 23:6, s. 2944-2949
  • Journal article (peer-reviewed)abstract
    • We, present a one-step technology for fabrication of Topas-based lab-on-a-chip (LOC) microsysterris by the use of thermal nanoimprint lithography (NIL). The technology is demonstrated by the fabrication of two working devices: a particle separator and a LOC with integrated optics for absorbance measurements. These applications demonstrate the fabrication of millimeter to micrometer-sized structures in one lithographic step. The use of NIL makes the technology easily scalable into the nanometer regime by the use of a suitable lithographic technique in the fabrication of the stamp. Processing issues such as environmental stress cracking of the Topas and the requirements to anti-sticking layers on the stamp when imprinting into Topas are discussed.
  •  
3.
  • Bogdanov, A. L., et al. (author)
  • Fabrication of arrays of nanometer size test structures for scanning probe microscope tips characterization
  • 1994
  • In: Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena. - : American Vacuum Society. - 0734-211X. ; 12:6, s. 3681-3684
  • Conference paper (peer-reviewed)abstract
    • A problem in scanning probe microscopy (SPM) is the unknown shape of the probing tip. Generally, the image is a convolution between the shape of the tip and the surface. Information of the shape of the probe may be gained by imaging very sharp tips. Here we present a method for making two-dimensional arrays of very sharp tips. The tip arrays were made of silicon using electron beam lithography with subsequent ion-beam etching. To achieve the best possible resolution, ultrasonic excitation was used during development of the bilayered PMMA resist. Thus, openings in the resist with size nearly equal to the spot size of the writing e-beam have been obtained. A further decrease of the radius of the tips was obtained by the choice of appropriate thickness for the masking NiCr layer. The tips were conical with a height up to 100 nm with a radius of the tip down to 10 nm. The tips were suitable for study of the shape of AFM probe tips, under condition that the tip array samples were rinsed in water prior to the measurement. Without the rinsing procedure, strong sticking forces between the probe and the sample would have eroded both of them. The regularity of the array provided an easy way to calibrate the lateral motion of the scanner
  •  
4.
  • Boscarino, Diego, et al. (author)
  • Deposition of silica-silver nanocomposites by magnetron cosputtering
  • 2005
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 23:1, s. 11-19
  • Journal article (peer-reviewed)abstract
    • Thin films have been grown on silicon and silica substrates by cosputtering of silica and silver in Ar, Ar+2.5% O2, and Ar+5% O2 gas mixtures. Rutherford backscattering spectrometry showed that the films have Ag atomic fractions xAg in the range of ∼1 to ∼10 at. %, and, by valence considerations, that the fraction of oxidized Ag in the films deposited in presence of oxygen is limited. Transmission electron microscopy images revealed the presence of Ag nanoclusters, with a mean size diameter not larger than 5 nm. The clusters are preferentially arranged along columns. It is suggested that the columns are regions with diameter in the nanometer range in which the density of the dielectric matrix is lower, thus favoring the formation of metal clusters. In presence of O2, the clusters were observed to have a more regular spherical shape. The optical absorption spectra of films grown in presence of O2 are distinguished from those grown in Ar by specific features, which are attributed to oxidation at the cluster surface. © 2005 American Vacuum Society.
  •  
5.
  • Buyanova, Irina, 1960-, et al. (author)
  • Optical study of spin injection dynamics in InGaN/GaN quantum wells with GaMnN injection layers
  • 2004
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 22:6, s. 2668-2672
  • Journal article (peer-reviewed)abstract
    •  The spin injection dynamics of GaMnN/InGaN multiquantum well (MQW) light emitting diodes (LEDs) grown by molecular beam epitaxy were examined using picosecond-transient and circularly polarized photoluminescence (PL) measurements. Even with the presence of a room temperature ferromagnetic GaMnN spin injector, the LEDs are shown to exhibit very low efficiency of spin injection. Based on resonant optical orientation spectroscopy, the spin loss in the structures is shown to be largely due to fast spin relaxation within the InGaN MQW, which itself destroys any spin polarization generated by optical spin orientation or electrical spin injection. Typical photoluminescence decay times were 20-40 ns in both commercial GaN MQW LEDs with emission wavelengths between 420-470 nm and in the GaMnN/InGaN multi-quantum well MQW LEDs. In the wurtzite InGaN/GaN system, biaxial strain at the interfaces give rise to large piezoelectric fields directed along the growth axis. This built-in piezofield breaks the reflection symmetry of confining potential leading to the presence of a large Rashba term in the conduction band Hamiltonian which is responsible for the short spin relaxation times.
  •  
6.
  • Carlstrom, C. F., et al. (author)
  • Characterization of damage in InP dry etched using nitrogen containing chemistries
  • 2001
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 19:5, s. 1905-1910
  • Journal article (peer-reviewed)abstract
    • Etching of InP by different ion beam etching processes using chemistries containing nitrogen, such as nitrogen milling, N(CH3)(3) and Ar/N(CH3)(3) based reactive ion beam etching (RIBS), and N-2/CH4/H-2 based chemically assisted ion beam etching (CAIBE), is investigated. The residual surface damage is characterized using I-V characteristics of Au/InP metal-semiconductor (MS) contacts and photoluminescence (PL) yield measurements from near surface quantum wells and bulls InP. The contacts formed on as-etched surfaces, irrespective of the etch process, show ohmic behavior. On the other hand, although the PL yield is reduced for the different processes, the measured intensities show variations which are attributed to passivation of defects by hydrogen. Secondary ion mass spectroscopy (SIMS) was used to check (qualitatively) the presence of nitrogen in the etched and annealed InP samples. SIMS data show the presence of nitrogen in the near surface region of the etched samples, but nitrogen levels drop to background levels upon annealing at typical regrowth conditions (650 degreesC under phosphine flow). Interestingly, the annealing step results not only in a significant recovery of the PL yield, but also in a recovery of Schottky characteristics of the Au contacts formed on the annealed surfaces. These observations show that the etch-induced damage is significantly reduced by annealing and suggests recovery of the near-surface stoichiometry and possibly reduction of nitrogen-related defects.
  •  
7.
  • Douheret, O., et al. (author)
  • Determination of spatial resolution in atomic-force-microscopy-based electrical characterization techniques using quantum well structures
  • 2005
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 23:1, s. 61-65
  • Journal article (peer-reviewed)abstract
    • In this work, a procedure to determine the spatial resolution in scan ning capacitance (SCM) and scanning spreading resistance microscopy (SSRM) is proposed and demonstrated. It is based on profiling of confined carriers (in cross section) in quantum well (QW) structures consisting of QWs with different well widths and interwell spacing. Spatial resolution of sub-5 nm was observed for SSRM with commercially available diamond-coated silicon probes and sub-30 nm for SCM with IrPt5-coated probes. The influence of experimental parameters such as tip-sample bias and tip averaging on lateral resolution is discussed and appropriate measurement conditions for performing high-resolution measurements are highlighted. Finally, it is proposed that such structures can be used not only to select probes appropriate for high resolution measurements, but also in the development of new probes.
  •  
8.
  • Eklund, Per, et al. (author)
  • Structural, electrical, and mechanical properties of nc-TiC/a-SiC nanocomposite thin films
  • 2005
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 23:6, s. 2486-2495
  • Journal article (peer-reviewed)abstract
    • We have synthesized Ti–Si–C nanocomposite thin films by dc magnetron sputtering from a Ti3SiC2 compound target in an Ar discharge on Si(100), Al2O3(0001), and Al substrates at temperatures from room temperature to 300  °C. Electron microscopy, x-ray diffraction, and x-ray photoelectron spectroscopy showed that the films consisted of nanocrystalline (nc-) TiC and amorphous (a-) SiC, with the possible presence of a small amount of noncarbidic C. The growth mode was columnar, yielding a nodular film-surface morphology. Mechanically, the films exhibited a remarkable ductile behavior. Their nanoindentation hardness and E-modulus values were 20 and 290  GPa, respectively. The electrical resistivity was 330  µ  cm for optimal Ar pressure (4  mTorr) and substrate temperature (300  °C). The resulting nc-TiC/a-SiC films performed well as electrical contact material. These films' electrical-contact resistance against Ag was remarkably low, 6  µ at a contact force of 800  N compared to 3.2  µ for Ag against Ag. The chemical stability of the nc-TiC/a-SiC films was excellent, as shown by a Battelle flowing mixed corrosive-gas test, with no N, Cl, or S contaminants entering the bulk of the films.
  •  
9.
  • Erlandsson, Ragnar, et al. (author)
  • Gas-induced restructuring of palladium model catalysts studied with atomic force microscopy
  • 1991
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 9:2, s. 825-828
  • Journal article (peer-reviewed)abstract
    • The structure of thin Pd films evaporated onto planar SiO2 substrates changes dramatically during oxygen/hydrogen exposures in ultrahigh vacuum. In this work we have used an atomic force microscope(AFM), operated in the attractive mode, to obtain the three‐dimensional morphology of the Pd surface for different film thicknesses and treatments, and compared the data with transmission electron microscopy(TEM) micrographs. During restructuring, a 100‐Å film changes from being a smooth continuous film with cracks into metal clusters dispersed on the SiO2 support. In the 5‐Å case the metal films are already well dispersed as fabricated. Here the gas exposure instead results in a clustering effect resulting in larger particles. The AFM gives results which are consistent with TEM micrographs but also gives additional information on metal particle shape which can lead to a further understanding of the restructuring process.
  •  
10.
  • Hedlund, Christer, 1964-, et al. (author)
  • A Method for the Determination of the Angular Dependence during Dry Etching
  • 1996
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 14:5, s. 3239-
  • Journal article (peer-reviewed)abstract
    • Process simulation is going to play an ever increasing role in the development, process optimization, and production of integrated circuit devices, yielding shorter development times and reduced costs as compared to traditional development methods. One of the most notorious problems one faces in topography simulations in particular is the determination of the erosion/growth rates of materials exposed to a variety of complex physicochemical processes. The latter evolve continually to satisfy the needs of the ever advancing microelectronic industry, while our understanding about these processes is often incomplete and insufficient for their description. Existing theoreticalmodels, which are often semiempirical, include a set of fitting parameters which are generally unknown and their determination in most cases involves guesswork. Another much more pragmatical approach to the problem is to measure these etch/growth rates directly in situ in the production equipment and feed the data into a topography simulator. In this article we present a simple and general method for measuring the angular dependence of the etch rate of a variety of materials using specially patterned silicon wafers. With anisotropic wet etching of silicon wafers it is possible to create structures defined by specific crystallographic planes, thus producing a variety of planar orientations on one and the same wafer. The structures can be oxidized and coated with the material of interest and processed under standard operating conditions. The method will be presented together with angular dependence data from typical dry etching processes. The results will be used as an input to the topographysimulation program DINESE.
  •  
11.
  • Henschel, W, et al. (author)
  • Fabrication of 12 nm electrically variable shallow junction metal-oxide-semiconductor field effect transistors on silicon on insulator substrates
  • 2003
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 21:6, s. 2975-2979
  • Journal article (peer-reviewed)abstract
    • Electrically variable shallow junction metal-oxide-semiconductor field effect transistors on silicon on insulator have been fabricated to evaluate the suitability of fabrication processes on a nanoscale. In addition, the limits of scalability have been explored reducing gate lengths down to 12 nm. Specific attention has been paid to the overlay accuracy as required for the fabrication of these double gate structures. The superior quality of hydrogen silsesquioxane (HSQ) as electron beam resist and as mask material is demonstrated. The transistor fabricated exhibits extremely low leakage currents and relatively high on currents. The 8 orders of magnitude difference between the on and off states demonstrates conclusively large potentials for metal-oxide-semiconductor structures with critical dimensions in the 10 nm regime. (C) 2003 American Vacuum Society.
  •  
12.
  • Håkanson, Ulf, et al. (author)
  • Electric field effects in single semiconductor quantum dots observed by scanning tunneling luminescence
  • 2003
  • In: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 21:6, s. 2344-2347
  • Journal article (peer-reviewed)abstract
    • Scanning tunneling microscopy (STM) and scanning tunneling luminescence were used to correlate the topography with the emission spectra from individual self-assembled, InP quantum dots (QDs). We have investigated in detail how the electric field induced by the STM tip affects the emission from the QDs. This was done when exciting a QD, by altering the bias for constant current, by altering the current for constant bias, or by changing the tip position. An increased bias (increased electric field) leads to Stark shift of the QD emission, whereas a larger tunneling current results in state filling of the emission. Furthermore, when exciting the QD, the position of the STM tip is shown to have large effects on the QD luminescence.
  •  
13.
  • Håkanson, Ulf, et al. (author)
  • Ultrahigh vacuum scanning probe investigations of metal induced void formation in SiO2/Si(111))
  • 2002
  • In: JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 20:1, s. 226-229
  • Conference paper (peer-reviewed)abstract
    • Using scanning electron microscopy guidance, atomically resolved scanning tunneling microscopy investigations inside openings formed during the decomposition of a thick SiO2 film on a Si(111) surface have been performed. We report, for the first time, the presence of Ni atoms inside self-formed openings. The SiO2 decomposition as a function of annealing temperature and time was studied. By comparing self-formed and lithographically designed openings in the SiO2 layer we have shown that Ni contamination of the SiO2/Si(111) has a profound effect on the formation of self-induced lateral oxide openings. (C) 2002 American Vacuum Society.
  •  
14.
  • Jacob, AP, et al. (author)
  • Post-growth process relaxation properties of strained Cd0.92Zn0.08Te/Cd0.83Zn0.17Te quantum well heterostructures grown by molecular beam epitaxy
  • 2004
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society; 1999. - 1071-1023 .- 1520-8567. ; 22:2, s. 565-569
  • Journal article (peer-reviewed)abstract
    • The post-growth structural stability regarding relaxation and defect propagation in Cd0.83Zn0.17Te/Cd0.92Zn0.08Te/Cd0.83Zn0.17Te quantum well (QW) heterostructures grown on [001] oriented Cd0.88Zn0.12Te substrates at 300degreesC by molecular beam epitaxy is investigated. The investigated heterostructures were subjected to post-growth thermal treatment in an ambient atmosphere in a temperature range between 280 and 550degreesC for 3 It each. We have used high-resolution x-ray diffraction as the main characterization tool. High-resolution rocking curves as well as the powerful two-dimensional reciprocal space mapping were employed in both symmetrical as well as asymmetrical reflections. The results indicate that at a post-growth temperature cycle of 350degreesC for 3 h slight modification of the Cd0.83Zn0.17Te/Cd0.92Zn0.08Te barrier/QW heterointerface smoothness is affected. This indicates the onset of migration of Zn atoms at this post-growth temperature time cycle. At 450 degreesC, this effect is more pronounced and seen as the complete disappearance of thickness fringes. For higher post-growth thermal treatment at 550 degreesC for 3 h, a hi-fi relaxation level accompanied by Zn content reduction is observed. A reduction of the Zn content down to 0.11 fractional value in the thick Cd0.83Zn0.17Te barrier is attributed to Zn out diffusion and/or Zn precipitation. (C) 2004 American Vacuum Society.
  •  
15.
  • Jonsson, Lars, et al. (author)
  • Patterning of tantalum pentoxide, a high epsilon material, by inductively coupled plasma etching
  • 2000
  • In: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 2166-2746 .- 2166-2754. ; 18:4, s. 1906-1910
  • Journal article (peer-reviewed)abstract
    • Integrated capacitors can easily cover a major part of the total chip area which may seriously affect the cost to produce the chip. By using a high epsilon material as the dielectric material, in the capacitor, the size can be reduced significantly. One very promising candidate is tantalum pentoxide (Ta2O5)" role="presentation" style="display: inline; line-height: normal; word-spacing: normal; word-wrap: normal; white-space: nowrap; float: none; direction: ltr; max-width: none; max-height: none; min-width: 0px; min-height: 0px; border: 0px; padding: 0px; margin: 0px; position: relative;">(Ta2O5)(Ta2O5)which has a dielectric constant of about 25. This should be compared to silicon nitride which has a dielectric constant of 8. In order to make integrated capacitors the tantalum pentoxide must be patterned. Results of a study on etching of tantalum pentoxide, silicon dioxide, and polysilicon with a high density plasma, using an inductively coupled plasma source, are presented and compared to results obtained by means of reactive ion etching. The gas used, CHF3," role="presentation" style="display: inline; line-height: normal; word-spacing: normal; word-wrap: normal; white-space: nowrap; float: none; direction: ltr; max-width: none; max-height: none; min-width: 0px; min-height: 0px; border: 0px; padding: 0px; margin: 0px; position: relative;">CHF3,CHF3, implies a polymerizing chemistry and the deposition of a fluorocarbon layer is shown to play an important role in the etch process. The fluorocarbon deposition onto the substrate surface is not only affected by the temperature of the substrate itself but also by the temperature of all surfaces that are exposed to the plasma. The process parameters with the strongest influence on the process have been found to be pressure and substrate bias voltage.
  •  
16.
  •  
17.
  • Keil, M, et al. (author)
  • Development and characterization of silane antisticking layers on nickel-based stamps designed for nanoimprint lithography
  • 2005
  • In: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 23:2, s. 575-584
  • Journal article (peer-reviewed)abstract
    • In this study we will report on the development of a process to establish antisticking layers on nickel-based stamps, which are used in several industrial applications of nanoimprint lithography or related methods. The fluorinated alkyl silane films have been deposited onto different Ni-based stamp surfaces in order to minimize the adhesion tendency at the stamp/polymer interface. film thickness, chemical composition, purity, and binding mechanisms of the silane groups to different stamp surface materials have been determined by photoelectron spectroscopy (XPS). In the case of electroplated nickel stamps-where low imprint qualities are observed-multilayer thick films cover, the stamp surfaces, consisting of polymerized, cross-linked alkyl silanes, which are poorly bound to the surface. In order to overcome these restrictions a. 100 angstrom thick polycrystalline titanium layer has been established in a sandwich position between the nickel substrate and the silane film. Here, silane film thicknesses in the monomolecular region together with evidences for strong covalent linkage between the silane groups and the oxidized Ti surface can be concluded from the XPS results, leading to film properties and imprint qualities, which are comparable to those formerly observed for silicon stamps.
  •  
18.
  • Keil, M, et al. (author)
  • Process development and characterization of antisticking layers on nickel-based stamps designed for nanoimprint lithography
  • 2004
  • In: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 22:6, s. 3283-3287
  • Journal article (peer-reviewed)abstract
    • Thin films of different derivatives of fluorinated alkyl phosphoric acids have been deposited from aqueous solutions onto surfaces of oxidized polycrystalline nickel stamps. which are commonly used in several industrial applications of nanoimprint lithography (NIL). The films have been established in order to increase the antiadhesion tendencies at the stamp polymer interface. Thicknesses, chemical compositions, and purities of the films as well as binding mechanisms to the stamp surfaces have been determined by photoelectron spectroscopy (XPS). The results demonstrate the adsorption of highly pure films having thicknesses in the monomolecular region, whose chemical compositions are characterized by large ratios of fluorinated to nonfluorinated carbon species. The high ionic nature of Ni-oxide benefits strong ionic linkages between the phosphate groups of the fluorinated antisticking film and the stamp surface, allowing a large-scale production of imprints with patterned stamps having feature sizes down to 100 nm. (C) 2004 American Vacuum Society.
  •  
19.
  • Larsson, Peter, 1966, et al. (author)
  • Fabrication and transport measurements of YBa2Cu3O7−x nanostructures
  • 2000
  • In: Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena. - : American Vacuum Society. - 0734-211X. ; 18:1, s. 1825-31
  • Journal article (peer-reviewed)abstract
    • We have developed a process to fabricate nanostructures in oxide materials, especially YBa2Cu3O7−x (YBCO) thin films. The fabrication process is based on a multilayer mask with an amorphous carbon layer as a key component. Electron-beam lithography, reactive ion etching, and ion-beam etching have been the supporting techniques for the process. Nanobridges ranging in width from 50 to 170 nm have been fabricated and characterized. The initial YBCO film was 50 nm thick and had a Tc of 86 K. Tc of the fabricated bridges decreased about 3–5 K with respect to the initial film. The level of the critical current density was 4–9×105 A/cm2 at 77 K and 1.6–2×107 A/cm2 at 4.2 K. No increase of the critical current density was observed as the cross-section area became smaller. The process has also been applied to fabricate 30–50 nm wide trenches in a-axis-oriented YBCO on top of a similar-oriented PrBa2Cu3O7−x (PBCO) layer. No supercurrent was observed in such junctions. The current transport was governed by Mott variable range hopping.
  •  
20.
  • Leerungnawarat, P., et al. (author)
  • Via-hole etching for SiC
  • 1999
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 17, s. 2050-2054
  • Journal article (peer-reviewed)abstract
    • Four different F2-based plasma chemistries for high-rate etching of SiC under inductively coupled plasma (ICP) conditions were examined. Much higher rates (up to 8000 #x2009; #xc5; #x2009;min-1) were achieved with NF3 and SF6 compared with BF3 and PF5, in good correlation with their bond energies and their dissociation efficiency in the ICP source. Three different materials (Al, Ni, and indium #x2013;tin oxide) were compared as possible masks during deep SiC etching for through-wafer via holes. Al appears to produce the best etch resistance, particularly when O2 is added to the plasma chemistry. With the correct choice of plasma chemistry and mask material, ICP etching appears to be capable of producing via holes in SiC substrates. #xa9; 1999 American Vacuum Society.
  •  
21.
  • Malmqvist, L, et al. (author)
  • Nanometer table-top proximity x-ray lithography with liquid-target laser-plasma source
  • 1997
  • In: Journal of Vacuum Science and Technology B. - : American Vacuum Society. - 1520-8567. ; 15:4, s. 814-817
  • Journal article (peer-reviewed)abstract
    • A compact laser-plasma proximity x-ray lithography system suitable for laboratory-scale low-volume nanometer patterning is presented. The laser-plasma source, which is based on a fluorocarbon liquid-jet target, generates high-brightness lambda = 1.2-1.7 nm x-ray emission with only negligible debris production. The Au/SiNx x-ray mask is fabricated by employing ion milling and a high-contrast e-beam resist. With SAL-601 chemically enhanced resist we demonstrate fabrication of high-aspect-ratio, sub-100 nm structures. The exposure time is currently 20 min using a compact 10 Hz, lambda = 532 nm, 70 mJ/pulse mode-locked Nd:YAG laser. However, the regenerative liquid-jet target is designed for operation with future, e.g., 1000 Hz, lasers resulting in projected exposure times of similar to 10 s. (C) 1997 American Vacuum Society.
  •  
22.
  • Maximov, Ivan, et al. (author)
  • Investigation of polymethylmethacrylate resist residues using photoelectron microscopy
  • 2002
  • In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. - : American Vacuum Society. - 1071-1023 .- 1520-8567 .- 0734-211X. ; 20:3, s. 1139-1142
  • Conference paper (peer-reviewed)abstract
    • Quantitative photoelectron spectromicroscopy has been used to study polymethylmethacrylate (PMMA) resist residues on SiO2 surfaces after electron beam exposure and resist development, It was found that correctly exposed and developed PMMA leaves residues with an average thickness of about 1 nm. Higher exposure doses result in the decrease in film thickness, but with residues of about 0.5 mn. The technique can be applied as a powerful tool for surface and interface quality control in technology of electronic devices.
  •  
23.
  • Montelius, L., et al. (author)
  • Direct observation of the atomic force microscopy tip using inverse atomic force microscopy imaging
  • 1994
  • In: Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. - : American Vacuum Society. - 0734-211X. ; 12:3, s. 2222-2226
  • Journal article (peer-reviewed)abstract
    • It is a well‐known fact in scanning probe microscopy that the tip geometry will be convoluted with the shape of the sample. In this study we report on a clear‐cut in situ direct observation of the real shape of the atomic force microscopy (AFM) tip using the AFM technique itself, utilizing a specially designed sample. The sample was an array of columns fabricated using aerosol deposition of metal particles and subsequent plasma etching. In this article we report on the so‐called inverse AFM mode in which the tip is actually used as the sample and vice versa. We will present results using ordinary AFM tips and ‘‘tapping‐mode’’ tips as well as high‐aspect‐ratio supertips (Nanoprobe). We propose how this method can, with a very high accuracy, be used for studying objects, e.g., biomolecules, that are deliberately attached to the usual AFM cantilever tip. Finally, we discuss how this method can significantly increase the reliability of the obtained AFM images.
  •  
24.
  • Mulot, Mikael, et al. (author)
  • Fabrication of two-dimensional InP-based photonic crystals by chlorine based chemically assisted ion beam etching
  • 2004
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 22:2, s. 707-709
  • Journal article (peer-reviewed)abstract
    • Two-dimensional photonic crystals (PhCs) were etched into InP/(Ga,In)(As,P) planar waveguides using chlorine-based chemical assisted ion beam etching (CAIBE). The processed PhCs were optically characterized by measuring transmission through simple slabs and one-dimensional cavities. The optical performances inside the photonic band gap are much better compared to both previously reported CAIBE results and results obtained with other etching methods. In particular, we measured a record quality factor of 310 for one-dimensional cavities fabricated in this material system.
  •  
25.
  • Mulot, M., et al. (author)
  • Low-loss InP-based photonic-crystal waveguides etched with Ar/Cl-2 chemically assisted ion beam etching
  • 2003
  • In: Journal of Vacuum Science & Technology B. - : American Vacuum Society. - 1071-1023 .- 1520-8567. ; 21:2, s. 900-903
  • Journal article (peer-reviewed)abstract
    • We demonstrate low-loss photonic-crystal (PC) waveguides realized in InP by Ar/Cl-2 based chemically assisted ion,beam etching. The waveguides are obtained as line defects in a triangular lattice of holes etched through a three-layer InP/GaInAsP/InP heterostructure. By optimizing the etching parameters so that the physical and the chemical components are balanced we succeed in obtaining holes deeper than 2 mum even for a hole diameter as small as 220 nm. The quality of the PCs etched by two different process conditions is compared by using the shape and the position of one of the mode gaps as an assessment tool;The measured transmissions spectra indicate that the PC waveguides etched with an optimized process exhibit losses smaller than 1 dB/100 mum. This is to date the lowest loss value reported for PC waveguides in semiconductor heterostructures at optical communication wavelengths.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-25 of 32

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view