SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Mu Wei 1985) "

Search: WFRF:(Mu Wei 1985)

  • Result 1-25 of 27
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • Mehta, Ankit Nalin, et al. (author)
  • Understanding noninvasive charge transfer doping of graphene: a comparative study
  • 2018
  • In: Journal of Materials Science: Materials in Electronics. - : Springer Science and Business Media LLC. - 1573-482X .- 0957-4522. ; 29:7, s. 5239-5252
  • Journal article (peer-reviewed)abstract
    • In this work, we systematically investigate and compare noninvasive doping of chemical vapor deposition graphene with three molecule dopants through spectroscopy and electrical conductivity techniques. Thionyl chloride shows the smallest improvement in conductivity with poor temporal and thermal stability and nitric acid induces the biggest sheet resistance reduction with modified stability. Molybdenum trioxide doping stands out, after thermal annealing, with both causing a significant sheet-resistance reduction and having superior temporal and thermal stability. These properties make it ideal for applications in advanced electronics. Theoretical studies based on the van der Waals density functional method suggest that cluster formation of molybdenum trioxide underpins the significant reduction in sheet resistance, and the stability, that arises after thermal annealing. Our comparative study clarifies charge transfer doping of graphene and brings understanding of the weak-interaction nature of such non-destructive doping of graphene. Our work also shows that we can use weak chemisorption to tailor the electronic properties of graphene, for example, to improve conductivity. This ability open up possibilities for further use of graphene in electronic interconnects, field effect transistors and other systems.
  •  
2.
  • Wang, Wei, et al. (author)
  • High corrosion resistance duplex fcc plus hcp cobalt based entropic alloys : An experimental and theoretical investigation
  • 2022
  • In: Materials & design. - : Elsevier BV. - 0264-1275 .- 1873-4197. ; 223, s. 111166-
  • Journal article (peer-reviewed)abstract
    • A series of duplex fcc + hcp Co-based entropic alloys are being discovered as a new category of entropic alloys with outstanding mechanical properties, especially to overcome a typical mechanical trade-off between strength and ductility. In this work, CALPHAD-based (CALculation of PHAse Diagram) thermo-dynamic calculations were performed to facilitate alloy design and to understand corrosion behaviors. The kinetics of the electrochemical corrosion for designed alloys in typical aggressive anion Cl-was inves-tigated by electrochemical tests, including open circuit potential (OCP), polarization and cyclic polariza-tion curves, and electrochemical impedance spectroscopy (EIS). The valence state and the surface morphologies of the passive films were investigated by X-ray photoelectron spectroscopy (XPS) and atomic force microscope (AFM). High corrosion resistance materials with high strength and ductility per-formances were discovered in the present work. Except for Ni-oxides, various spinel compounds and many other oxides including Co2O3, Cr2O3, Fe2O3, MnO, MoO3, CoCr2O4, FeCr2O4, CoFe2O4, and CoMoO4 were observed in the passive films. The adsorbed and penetrated corrosive anion Cl-will be prone to breakdown the passive films with less Cr2O3, CoCr2O4 and MoO3 to form pitting corrosion (also include other localized corrosion, such as intergranular corrosion and crevice corrosion). The microstructure of the hcp martensite with the fcc matrix has played an important role in the propagation of the localized anodic dissolution in the form of cleavage and quasi-cleavage. The theoretical calculations are in good agreement with the experimental observations. This paper paves a way for the future devel-opment of high-performance Co-based entropic alloys served in some harsh environments.
  •  
3.
  • Fan, X., et al. (author)
  • Reliability of carbon nanotube bumps for chip on glass application
  • 2014
  • In: Proceedings of the 5th Electronics System-Integration Technology Conference, ESTC 2014. - 9781479940264 ; , s. Art. no. 6962753-
  • Conference paper (peer-reviewed)abstract
    • Carbon nanotubes (CNTs) are an ideal candidate material for electronic interconnects due to their extraordinary thermal, electrical and mechanical properties. In this study, densified CNT bumps utilizing the paper-mediated controlled method were applied as the interconnection for chip on glass (COG) applications, and the silicon chip with patterned CNT bumps was then flipped and bonded onto a glass substrate using anisotropic conductive adhesive (ACA) at a bonding pressure of 127.4 Mpa, 170°C for 8 seconds. The electrical properties of the COG were evaluated with the contact resistance of each bump measured using the four-point probe method. Three different structure traces, marked as Trace A, Trace B, and Trace C, were tested, respectively. Thermal cycling (-40 to 85°C, 800 cycles) and damp heat tests (85°C/85% RH, 1000 hours) were also conducted to evaluate the reliability of the CNT-COG structure. The average contact resistance of the samples was recorded during these tests, in which there was no obvious electrical failure observed after both the thermal cycling and damp heat tests. The results of these tests indicated that the COG has good reliability and the CNT bumps have promising potential applications in COG.
  •  
4.
  • Fu, Yifeng, 1984, et al. (author)
  • Carbon nanotube growth on different underlayers for thermal interface material application
  • 2016
  • In: IMAPS Nordic Annual Conference 2016 Proceedings. - 9781510827226
  • Conference paper (peer-reviewed)abstract
    • Thermal interface material (TIM) is a critical component in thermal management of high density packaging systems since both the reliability and lifetime of microsystems are dependent on how the heat is dissipated. Carbon nanotubes (CNTs) are promising candidate for development of TIMs due to their excellent thermal and mechanical properties. The thermal conductivity of CNTs can be up to 3000 W/mK in the longitudinal direction which acts as ideal heat transfer path. However, the huge interfacial thermal resistance between CNTs and contact surface hinders the exploitation of CNTs as TIMs. In this paper, we will focus on the growth of CNTs on various substrates and underlayers and analyze the interaction between catalyst and underlayer materials. Microscopic analysis is performed to characterize the quality of the CNT materials and monitor the diffusion of Fe particles into different barrier layers. Thermal conductivity of the CNT TIMs will be measured to examine the performance of the materials.
  •  
5.
  • Fu, Yifeng, 1984, et al. (author)
  • Post-Growth Processing of Carbon Nanotubes for Interconnect Applications - A Review
  • 2016
  • In: 2016 6th Electronic System-Integration Technology Conference (Estc). - 9781509014026 ; , s. Article no 7764713-
  • Conference paper (peer-reviewed)abstract
    • Interconnect is one of the most important functions of packaging technology. It delivers power and signals into and out of electronic systems. The performance and reliability of microsystems are dependent on the interconnect quality. This paper reviews the chip-level interconnects based on carbon nanotubes (CNTs), this includes their applications for both on-chip and off-chip interconnects. Various post-growth processing of CNTs, such as doping, densification, transfer, metallization, etc., for the improvement of their performance will be reviewed.
  •  
6.
  • Jiang, Di, 1983, et al. (author)
  • Carbon nanotube/solder hybrid structure for interconnect applications
  • 2014
  • In: Proceedings of the 5th Electronics System-Integration Technology Conference, ESTC 2014. - 9781479940264 ; , s. Art. no. 6962751-
  • Conference paper (peer-reviewed)abstract
    • A carbon nanotube (CNT)/Solder hybrid bump structure is proposed in this work in order to overcome the drawbacks of high CNT resistivity while retaining the advantages of CNTs in terms of interconnect reliability. Lithographically defined hollow CNT moulds are grown by thermal chemical vapor deposition (TCVD). The space inside the CNT moulds is filled up with Sn-Au-Cu (SAC) solder spheres of around 10 μm in diameter. This CNT/Solder hybrid material is then reflowed and transferred onto target indium coated substrate. The reflow melts the small solder spheres into large single solder balls thus forming a hybrid interconnect bump together with the surrounding densified CNT walls, which the CNT and the solder serve as resistors in parallel. The electrical resistance of such a CNT/Solder structure is measured to be around 6 folds lower than pure CNT bumps.
  •  
7.
  • Jiang, Di, 1983, et al. (author)
  • Embedded Fin-Like Metal/CNT Hybrid Structures for Flexible and Transparent Conductors
  • 2016
  • In: Small. - : Wiley. - 1613-6810 .- 1613-6829. ; 12:11, s. 1521-1526
  • Journal article (peer-reviewed)abstract
    • In this paper, an embedded fin-like metal-coated carbon nanotube (Fin-M/CNT) structure is demonstrated for flexible and transparent conductor wire applications. Embedded in a polydimethylsiloxane polymeric substrate, Fin-M/CNT wires with a minimum width of 5 μm and a minimum pitch of 10 μm have been achieved. Direct current resistances of single Fin-M/CNT wires, where the supporting CNT structures have been covered by Ti/Al/Au metal coatings of different thicknesses, have been measured. The high aspect ratio of the fin-like structures not only improves the adhesion between the wires and the polymeric substrate, but also yields a low resistance at a small surface footprint. In addition, transparent Fin-M/CNT grid lines with hexagonal patterns, with a sheet resistance of as low as 45 Ω sq−1, have been achieved at an optical transmittance of 88%. The robustness of the Fin-M/CNT structures has been demonstrated in bending tests up to 500 cycles and no significant changes in wire resistances are observed.
  •  
8.
  • Jiang, Di, 1983, et al. (author)
  • Vertically stacked carbon nanotube-based interconnects for through silicon via application
  • 2015
  • In: IEEE Electron Device Letters. - 0741-3106 .- 1558-0563. ; 36:5, s. 499-501
  • Journal article (peer-reviewed)abstract
    • Stacking of silicon chips with carbon nanotube (CNT)-based through-silicon vias (TSVs) is experimentally demonstrated. Polymer filling is used to improve the transfer quality of CNTs into pre-etched silicon holes. Special hexagonal CNTs are designed to achieve high aspect ratio (10:1) CNT vias. TSVs filled with closely packed CNTs show a highly linear dc I - V response. The proposed process works at room temperature, which makes it compatible with existing device fabrication flow.
  •  
9.
  • Li, Rongbin, et al. (author)
  • Nonlinearity in mass spectrometry for quantitative multi-component gas analysis in reaction processes
  • 2022
  • In: Analytica Chimica Acta. - : Elsevier BV. - 0003-2670 .- 1873-4324. ; 1194, s. 339412-
  • Journal article (peer-reviewed)abstract
    • Quantitative mass spectrometry analysis for multi-component gas phase reaction processes is a typical multi-input and multi-output (MIMO) nonlinear problem. Conventional calibration and analytical methods that are based on the common hypothesis of linearity of the detected signal and gas parameters, could result in misjudgment of the reaction mechanism and inaccuracy in the determination of the reaction kinetics. In the present work, theoretical derivations based on equivalent characteristic spectrum analysis (ECSA (R)), discrete mode experiments and continuous mode experiments were performed, and the nonlinearity of mass spectrometry was confirmed. It is only possible to determine the physical parameters such as flow rate and/or concentrations of gases by properly handling the nonlinearity of mass spectrometry. In such case comprehensive reaction mechanisms and even the kinetics of the process can be accurately characterized. Well-handled nonlinear mass spectrometry analysis ensures a reliable and highly accurate identification for the multi-component gas phase reaction processes, and ensures high signal-to-noise ratio for detecting the small-flow gases at a wide range of carrier gas flow.
  •  
10.
  • Li, X., et al. (author)
  • Reliability of Carbon Nanotube Bumps for Chip on Film Application
  • 2013
  • In: Proceedings of the IEEE Conference on Nanotechnology. - 1944-9399 .- 1944-9380. - 9781479906758 ; , s. 845-848
  • Conference paper (peer-reviewed)abstract
    • Carbon nanotubes (CNTs) are an ideal candidate for electrical interconnects due to their extraordinary thermal, electrical and mechanical properties. In this work, as-densified CNT bumps were applied as chip on film (COF) interconnection material. A silicon chip with patterned CNT bumps was bonded onto a flexible substrate using anisotropic conductive adhesive (ACA) with bonding pressure, at 127.4 MPa, 170 °C and for 8 seconds. The electrical properties of this structure were evaluated by measuring the contact resistance of each bump using the four-point probe method. Thermal cycling (-40∼85°C, 1000 cycles) and damp heat tests (85°C/85% RH, 1000 hours) were conducted to evaluate the reliabilities of the CNT-COF structure bonded with ACA. The average contact resistances of two samples used for the reliability tests were 226 mΩ and 260mΩ. No electrical failure was observed after the damp heat test and only two were observed after the thermal cycling test. The average contact resistance was increased only 15.7% and 13.8%, respectively, after the thermal cycling and the damp heat tests. © 2013 IEEE.
  •  
11.
  •  
12.
  • Luo, Xin, 1983, et al. (author)
  • Unusual tensile behaviour of fibre-reinforced indium matrix composite and its in-situ TEM straining observation
  • 2016
  • In: Acta Materialia. - : Elsevier BV. - 1359-6454. ; 104, s. 109-118
  • Journal article (peer-reviewed)abstract
    • Indium-based thermal interface materials are superior in thermal management applications of electronic packaging compared to their polymer-based counterparts. However, pure indium has rather low tensile strength resulting in poor reliability. To enhance the mechanical properties of such a material, a new composite consisting of electrospun randomly oriented continuous polyimide fibres and indium was fabricated. The composite has been characterised by tensile tests and in-situ transmission electron microscopy straining observations. It is shown that the composite's ultimate tensile strength at 20 degrees C is five times higher than that of pure indium, and the strength of the composite exceeds the summation of strengths of the individual components. Furthermore, contrary to most metallic matrix materials, the ultimate tensile strength of the composite decreases with the increased strain rate in a certain range. The chemical composition and tensile fracture of the novel composite have been analysed comprehensively by means of scanning transmission electron microscopy and scanning electron microscopy. A strengthening mechanism based on mutually reinforcing structures formed by the indium and surrounding fibres is also presented, underlining the effect of compressing at the fibre/indium interfaces by dislocation pileups and slip pinning.
  •  
13.
  • Mu, Wei, 1985 (author)
  • 3D Integration of Carbon Based Electronics
  • 2016
  • Doctoral thesis (other academic/artistic)abstract
    • Carbon-based nanomaterials such as carbon nanotubes (CNTs) and graphene, which possess superior electrical, thermal and mechanical properties, have been proposed as alternative materials for future electronics. The proposed applications span from the device level, replacing silicon-based transistors, with single-walled carbon nanotubes (SWCNTs) or graphene, to packaging level using multi-walled carbon nanotubes (MWCNTs) for interconnects. To further exploit the potential of carbon-based electronics in electronic packaging, a novel 3D carbon based electronic system is targeted in this thesis. In order to achieve the goal of a 3D carbon based electronic system, this thesis addresses some of the technical issues including material synthesis, process compatibility and system integration. First of all, the chemical vapor deposition (CVD) synthesis of horizontally-aligned SWCNTs (HA-SWCNTs), vertically-aligned MWCNTs (VA-MWCNTs) and bilayer graphene were investigated for material preparation. For the HA-SWCNTs on the ST-cut quartz substrate, it has been found that adding a top heater is critical for synthesis of high-quality HA-SWCNTs in a cold-wall reactor. The catalyst engineering of MWCNTs in a hexagonal pattern was performed to achieve high aspect ratio MWCNT bundles for through-silicon-via (TSV) interconnects. In addition, a nucleation activity model has been proposed as an explanation for the fast and controllable synthesis of bilayer graphene on a copper foil in the cold wall CVD. The transfer of CNTs and graphene was conducted to circumvent material synthesis incompatibilities with current semiconductor and packaging processes. The PET frame was utilized to ease the HA-SWCNTs transfer during the transportation. In particular, tape-assisted transfer of MWCNT bundles for TSVs has been proposed and experimentally demonstrated to increase the yield and efficiency. After the transfer of VA-MWCNT bundle into the via, different polymers were used for filling the gap between the VA-MWCNT bundle and the side-walls of the via, and their performance was investigated and compared. In addition, a double densification process has been developed to overcome the tilting issue of the high aspect ratio VA-MWCNTs bundles for the TSV interconnect. For bubbling transfer of graphene, a consolidation polydimethylsiloxane (PDMS) layer was added on top of polymathic methacrylate (PMMA) layer in order to avoid the cracks caused by bubbles. In order to solve the high resistivity issue of pure VA-MWCNTs filled TSV interconnects, a novel CNT-Cu nanocomposite has been developed. This composite material consisted of high aspect ratios, VA-MWCNTs deposited and coated by Cu, and exhibited the same order of magnitude resistivity as Cu, but with a similar thermal expansion performance to silicon. In parallel, attempts were made to stack pure VA-MWCNT filled TSVs and CNT-Cu nanocomposite filled TSV. In the end, a via-last based process for 3D integration was proposed and demonstrated. Electrical characterization was carried out at each step of the 3D integration process.
  •  
14.
  • Mu, Wei, 1985, et al. (author)
  • Controllable and fast synthesis of bilayer graphene by chemical vapor deposition on copper foil using a cold wall reactor
  • 2016
  • In: Chemical Engineering Journal. - : Elsevier BV. - 1385-8947. ; 304:15 November 2016, s. 106-114
  • Journal article (peer-reviewed)abstract
    • Bilayer graphene is attractive for digital device applications due to the appearance of a bandgap under application of an electrical displacement field. Controllable and fast synthesis of bilayer graphene on copper by chemical vapor deposition is considered a crucial process from the perspective of industrial applications. Here, a systematic investigation of the influence of process parameters on the growth of bilayer graphene by chemical vapor deposition in a low pressure cold wall reactor is presented. In this study, the initial process stages have been of particular interest. We have found that the influence of the hydrogen partial pressure on synthesis is completely the opposite from that found for traditional tubular quartz CVD in terms of its influence on the graphene growth rate. H2/CH4 ratio was also found to effectively influence the properties of the synthesized bilayer graphene in terms of its atomic structure, whether it be AB-stacked or misoriented. Different pre-treatments of the copper foil, in combination with different annealing processes, were used to investigate the nucleation process with the aim of improving the controllability of the synthesis process. Based on an analysis of the nucleation activity, adsorption-diffusion and gas-phase penetration were employed to illustrate the synthesis mechanism of bilayer graphene on copper foil. After optimization of the synthesis process, large areas, up to 90% of a copper foil, were covered by bilayer graphene within 15 minutes. The total process time is only 45 minutes, including temperature ramp-up and cool-down by using a low pressure cold wall CVD reactor.
  •  
15.
  • Mu, Wei, 1985, et al. (author)
  • Double-Densified VerticallyAligned Carbon Nanotube Bundles for Application in 3D Integration High Aspect Ratio TSV Interconnects
  • 2016
  • In: Proceedings - Electronic Components and Technology Conference. - 0569-5503. - 9781509012046 ; 2016-August, s. 211-216
  • Conference paper (peer-reviewed)abstract
    • The treatment of densification by vapor on pristineMWCNT bundles are necessary to improve the effective area of the CNT TSV. However, the CNT bundles might tilt partly because of the non-uniform densification at root of the bundle, especially when it comes to the high aspect ratio CNT bundles. In order to solve these problems, a double densification process has been proposed and developed here. First of all, the shape of partial densified CNT bundles were optimized as a function of time. After several steps such as transferring of partial densified CNT bundles into the via, second densification, epoxy filling and chemical mechanical polishing, the CNT filled TSV with aspect ratio of 10 was achieved. The current voltage response of the CNT TSV interconnection indicated good electrical connection was formed. The resistivity of CNT bundles in via was calculated to be around 2-3 milli-ohmcm.
  •  
16.
  • Mu, Wei, 1985, et al. (author)
  • Enhanced Cold Wall CVD Reactor Growth of Horizontally Aligned Single-walled Carbon Nanotubes
  • 2016
  • In: Electronic Materials Letters. - : Springer Science and Business Media LLC. - 1738-8090 .- 2093-6788. ; 12:3, s. 329-337
  • Journal article (peer-reviewed)abstract
    • Synthesis of horizontally-aligned single-walled carbon nanotubes (HA-SWCNTs) by chemical vapor deposition (CVD) directly on quartz seems very promising for the fabrication of future nanoelectronic devices. In comparison to hot-wall CVD, synthesis of HA-SWCNTs in a cold-wall CVD chamber not only means shorter heating, cooling and growth periods, but also prevents contamination of the chamber. However, since most synthesis of HA-SWCNTs is performed in hot-wall reactors, adapting this well-established process to a cold-wall chamber becomes extremely crucial. Here, in order to transfer the CVD growth technology from a hot-wall to a cold-wall chamber, a systematic investigation has been conducted to determine the influence of process parameters on the HA-SWCNT’s growth. For two reasons, the cold-wall CVD chamber was upgraded with a top heater to complement the bottom substrate heater; the first reason to maintain a more uniform temperature profile during HA-SWCNTs growth, and the second reason to preheat the precursor gas flow before projecting it onto the catalyst. Our results show that the addition of a top heater had a significant effect on the synthesis. Characterization of the CNTs shows that the average density of HA-SWCNTs is around 1-2 tubes/μm with high growth quality as shown by Raman analysis.
  •  
17.
  • Mu, Wei, 1985, et al. (author)
  • Large area and uniform monolayer graphene CVD growth on oxidized copper in a cold wall reactor
  • 2016
  • In: IMAPS Nordic Annual Conference 2016 Proceedings. - 9781510827226
  • Conference paper (peer-reviewed)abstract
    • Graphene growth on copper in cold wall chemical vapor deposition (CVD) is not an inherently self- limiting process, which means that adlayers appear as long as there is sufficient growth time. The growth of large area and uniform monolayer becomes crucial and imminent. In this study, the pre-Treatment of oxidation was employed on copper. The results have shown that oxidation pre-Treatment in combination with argon annealing process would not only decrease the density of nucleation site, but also suppress the activity of nucleation site for the multilayer graphene growth. Therefore, large area and uniform monolayer graphene was obtained. The characterization of SEM. AFM and Raman analysis was also performed on either pristine graphene copper or transferred graphene on silicon oxide substrate.
  •  
18.
  • Mu, Wei, 1985, et al. (author)
  • Tape-Assisted Transfer of Carbon Nanotube Bundles for Through-Silicon-Via Applications
  • 2015
  • In: Journal of Electronic Materials. - : Springer Science and Business Media LLC. - 1543-186X .- 0361-5235. ; 44:8, s. 2898-2907
  • Journal article (peer-reviewed)abstract
    • Robust methods for transferring vertically aligned carbon nanotube (CNT) bundles into through-silicon vias (TSVs) are needed since CNT growth is not compatible with complementary metal–oxide–semiconductor (CMOS) technology due to the temperature needed for growing high-quality CNTs (∼700°C). Previous methods are either too complicated or not robust enough, thereby offering too low yields. Here, a facile transfer method using tape at room temperature is proposed and experimentally demonstrated. Three different kinds of tape, viz. thermal release tape, Teflon tape, and Scotch tape, were applied as the medium for CNT transfer. The CNT bundle was adhered to the tape through a flip-chip bonder, and the influence of the bonding process on the transfer results was investigated. Two-inch wafer-scale transfer of CNT bundles was realized with yields up to 97% demonstrated. After transfer, the use of several different polymers was explored for filling the gap between the transferred CNT bundle and the sidewalls of the TSV openings to improve the filling performance. The current–voltage characteristic of the CNT TSVs indicated good electrical performance, and by measuring the via resistance as a function of via thickness, contact resistances could be eliminated and an intrinsic CNT resistivity of 1.80 mΩ cm found.
  •  
19.
  • Mu, Wei, 1985 (author)
  • Towards 3D Integration of Carbon Based Electronics
  • 2015
  • Licentiate thesis (other academic/artistic)abstract
    • Carbon-based nanomaterials such as carbon nanotubes (CNTs) and graphene, which possess superior electrical, thermal and mechanical properties, have been proposed as alternative materials for future electronics. The proposed applications span from the device level, replacing silicon-based transistors, with single-walled carbon nanotubes (SWCNTs) or graphene, to packaging level using multi-walled carbon nanotubes (MWCNTs) for interconnects. To further exploit the potential of carbon-based electronics in electronic packaging, a novel 3D all carbon based electronic system is targeted in this thesis. In order to achieve the goal of a 3D carbon based electronic system, this thesis addresses some of the technical issues including material synthesis, process compatibility and system integration. First of all, the synthesis of horizontally-aligned SWCNTs (HA-SWCNTs), vertically-aligned MWCNTs (VA-MWCNTs) and graphene were investigated for material preparation. Especially, growth of HA-SWCNTs on a ST-cut quartz substrate was the first time demonstrated in ac low pressure cold-wall CVD with acetylene as a carbon precursor. In addition, the catalyst engineering of MWCNTs in a hexagonal pattern was performed to achieve high aspect ratio MWCNT bundles for through-silicon-via (TSV) interconnects. The transfer of CNTs and graphene was conducted to circumvent material synthesis incompatibilities with current semiconductor and packaging processes. In particular, tape-assisted transfer of MWCNT bundles for TSVs has been proposed and experimentally demonstrated to increase the yield and efficiency. After the transfer of VA-MWCNT bundle into the via, different polymers were used for filling the gap between the VA-MWCNT bundle and the side-walls of the via, and their performance was investigated and compared. In parallel, attempts were made to stack VA-MWCNT filled TSVs, with and without using isotropic conductive adhesive. Electrical characterization was carried out at each step of the fabrication process.
  •  
20.
  • Sun, Shuangxi, 1986, et al. (author)
  • Cooling hot spots by hexagonal boron nitride heat spreaders
  • 2015
  • In: Proceedings - Electronic Components and Technology Conference. - 0569-5503. - 9781479986095 ; http://www.grapchina.com/Fhzt/view/id/96.html
  • Conference paper (peer-reviewed)abstract
    • As the electronic systems become smaller and faster, a thinner and higher-efficiency heat spreader is demanded to meet the thermal dissipation requirement. In this work, we proposed a layered hBN film based heat spreader to dissipate the thermal energy generated by hot spots on high power chips. The liquid phase exfoliation method was employed to synthesize hBN flakes. Different layers of hBN film were characterized using SEM, TEM and Raman spectroscopy. Afterwards, the films were directly attached onto the target power chips. The power chips were integrated with temperature sensor and hot spot in order to analyze the thermal performance of the hBN heat spreader. IR Camera was used to capture the heat spreading effect of the hBN heat spreader and monitor the temperature distribution around the hot spot. The temperature at the hot spot driven by a heat flux of around 600W/cm2 was decreased by about 20% compared to the sample without the BN film. The potential of using hBN heat spreader for cooling hot spots was demonstrated in this work.
  •  
21.
  • Sun, Shuangxi, 1986, et al. (author)
  • Dissipating Heat from Hot Spot Using a New Nano Thermal Interface Material
  • 2012
  • In: Proceedings of IEEE CPMT 2012 International Conference on Electronic Packaging Technology & High Density Packaging. - 9781467316804 ; :Article number 6474593, s. 171-176
  • Conference paper (peer-reviewed)abstract
    • The need for faster, smaller, more reliable and efficient products has resulted in increased heat generated in microelectronic components. Removal of the heat generated is an important issue in electronic packaging. Therefore, a novel Nano-Thermal Interface Material was developed to improve this. This paper aims at studying the thermal performance of the new nano-structured polymer-metal composite film (Nano-TIM) in application for dissipating around hot spots which exist in non-uniform power generation. Through semiconductor process and Micron-RTD principle, 5*5mm 2 thermal test chips were developed to serve as a heat source for detecting the heat dissipation effect of the Nano-TIM. T3Ster test system and IR Camera were used to measure partial thermal resistance of the 50 and 75 um Nano-TIM layer and study the spread of different hot spot positions in 10*10mm 2 power chip. We also studied the hot spot dissipation effect under different die attach areas with the Nano-TIM. According to the results of this study, this new class of Nano-TIM can meet the high requirements for hot spot dissipation of highly non-uniform power distribution in electronics packaging. © 2012 IEEE.
  •  
22.
  • Sun, Shuangxi, 1986, et al. (author)
  • Vertically aligned CNT-Cu nano-composite material for stacked through-silicon-via interconnects
  • 2016
  • In: Nanotechnology. - : IOP Publishing. - 1361-6528 .- 0957-4484. ; 27:33, s. Art no335705-
  • Journal article (peer-reviewed)abstract
    • For future miniaturization of electronic systems using 3D chip stacking, new fine-pitch materials for through-silicon-via (TSV) applications are likely required. In this paper, we propose a novel carbon nanotube (CNT)/copper nanocomposite material consisting of high aspect ratio, vertically aligned CNT bundles coated with copper. These bundles, consisting of hundreds of tiny CNTs, were uniformly coated by copper through electroplating, and aspect ratios as high as 300: 1 were obtained. The resistivity of this nanomaterial was found to be as low as similar to 10(-8) Omega m, which is of the same order of magnitude as the resistivity of copper, and its temperature coefficient was found to be only half of that of pure copper. The main advantage of the composite TSV nanomaterial is that its coefficient of thermal expansion (CTE) is similar to that of silicon, a key reliability factor. A finite element model was set up to demonstrate the reliability of this composite material and thermal cycle simulations predicted very promising results. In conclusion, this composite nanomaterial appears to be a very promising material for future 3D TSV applications offering both a low resistivity and a low CTE similar to that of silicon.
  •  
23.
  • Wang, Nan, 1988, et al. (author)
  • Development and Characterization of Graphene Enhanced Thermal Conductive Adhesives
  • 2016
  • In: 2016 6th Electronic System-Integration Technology Conference (Estc). - 9781509014026 ; , s. Article no 7764682-
  • Conference paper (peer-reviewed)abstract
    • In this paper, a graphene enhanced thermal conductive adhesive (G-TCA) was developed for thermal management of power devices. The developed G-TCA has many advantages, including high thermal conductivity, lower density, good dispensing ability. and cost effective. To fabricate G-TCAs. few-layer graphene was utilized as fillers to improve the thermal conductivity of the TCA. The graphene nanosheets were fabricated through a high-speed shear mixing process in a mixed solvent. Compared to many reported liquid exfoliation process, the graphene fabrication process shows many advantages, such as high process efficiency, mass production, low-cost, clean and safe process. G-TCA sample with a hybrid filler ratio of 73% Ag and 3% graphene shows the highest thermal conductivity of 8 W/m K, which is almost four times higher than reference TCAs. A Joule heating setup was built to simulate G-TCA's function in a real electronic component and demonstrate the superior heat dissipation properties of the G-TCAs. Viscosities of the G-TCA samples were regulated in an acceptable range of many dispensing processes to be able to make uniform and fine patterns. Therefore, the developed G-TCA could be widely used for thermal management of power devices and electronic packaging area to decrease their working temperatures and extend the lifetime of devices.
  •  
24.
  • Wang, Wei, et al. (author)
  • Inclusion engineering in Co-based duplex entropic alloys
  • 2021
  • In: Materials & design. - : Elsevier BV. - 0264-1275 .- 1873-4197. ; 210
  • Journal article (peer-reviewed)abstract
    • Co-based duplex entropic alloy is designed very recently to replace pure Co as a major component of the binder phase for cemented carbide cutting tools. This work aims to provide a fundamental study of oxide inclusion characteristics in the duplex fcc + hcp Co-based entropic alloys. It is found that the Co85-xCrxFe7.5Ni7.5 (x = 15, 30 at.%) alloys hold the highest liquidus (T-liq) and solidus (T-sol) temperatures, compare with the Co85-xCrxMn7.5Ni7.5 (x = 15, 30 at.%) and Co77.5-xCrxFe7.5Mn7.5Ni7.5 (x = 15, 30 at.%) alloys. For each grade, the increasing Cr content leads to a decrease of T-sol and T-liq temperatures. It is also noted that there is an approximate 100 degrees C of undercooling exists in each grade during the solidification. The stable oxide inclusion in the Co85-xCrxMn7.5Ni7.5 and Co77.5-xCrxFe7.5Mn7.5Ni7.5 alloys is the MnCr2O4 type, while Cr2O3 is the main stable inclusion in the Co85-xCrxFe7.5Ni7.5 alloy. Furthermore, the size range of the MnCr2O4 particles is larger than that of Cr2O3. The theoretical calculation shows that MnCr2O4 has a higher coagulation coefficient than Cr2O3 does. This is due to the influence of the thermo-physical parameters, i.e. the interfacial energy between the oxide and the alloy and the viscosity of liquid alloy. The theoretical calculation fits well with the experimental findings.
  •  
25.
  • Wang, Wei, et al. (author)
  • Optimal corrosion resistance of cobalt-based dual-phase entropic alloys via compositional modulation of aluminum
  • 2023
  • In: Journal of Materials Research and Technology. - : Elsevier BV. - 2238-7854 .- 2214-0697. ; 24, s. 9225-9239
  • Journal article (peer-reviewed)abstract
    • A new category of Al-doped cobalt-based dual phase entropic alloys with high corrosion resistance properties was designed in the present work. CALPHAD (CALculation of PHAse Diagram) aided prediction was performed to facilitate the alloy design. Two groups of alloys have been extensively investigated by various techniques. One group was quenched in water from the homogenization temperature (i.e. 1200 °C) to room temperature. The other group was subsequently quenched in liquid nitrogen from room temperature. The microstructure of the proposed alloys was characterized by X-ray diffraction (XRD). Several kinds of electrochemical tests were performed to study the corrosion behaviors of the designed alloys in NaCl solution, including open circuit potential (OCP), polarization and cyclic polarization curves, electrochemical impedance spectroscopy (EIS), electrochemical noise (ECN), linear voltammetry curves and staircase voltammetry scan, and Mott–Schottky method. The obtained results show that Al has a minor influence on the corrosion property of this series alloy with a 0 to 2 at.% Al addition. All the designed alloys hold quite acceptable corrosion resistance. In addition, a mechanical property, i.e. micro-hardness, is also provided as a supplement information. This work sheds light on the correlation between computational thermodynamics and corrosion behaviors in cobalt-based dual-phase entropic alloys, as well as to provide a feasibility study on the important role of CALPHAD method for a rapid development of a new category of high performance alloys.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-25 of 27

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view