SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Kolahdouz Mohammadreza) "

Search: WFRF:(Kolahdouz Mohammadreza)

  • Result 1-33 of 33
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • Di Benedetto, Luigi, et al. (author)
  • Strain balance approach for optimized signal-to-noise ratio in SiGe quantum well bolometers
  • 2009
  • In: ESSDERC 2009 - Proceedings of the 39th European Solid-State Device Research Conference. - 9781424443536 ; , s. 101-104
  • Conference paper (peer-reviewed)abstract
    • This work presents thermal and electrical characterization of SiGe/Si multi-quantum wells (MQWs) with different layer profiles in complete bolometer structures. The thermal property of the bolometers was studied by measuring thermal coefficient of resistivity (TCR) through I-V curves for five temperatures (25, 40, 55, 80 and 100°C) and for four different pixel areas. The results show a strong dependency of TCR on the Si/SiGe layer thickness and the presence of dopant impurity in the MQW. The noise measurements of MQWs were performed carefully by eliminating all external contributions and the noise spectroscopy provided the noise characteristic parameters. The results demonstrate that the noise depends on the geometric size of the MQW and it increases with decreasing of the pixel area. The investigations show the noise level in the bolometer structures is sensitive to any dopant segregation from the contact layers.
  •  
2.
  • Fischer, Andreas C., 1982-, et al. (author)
  • 3D Free-Form Patterning of Silicon by Ion Implantation, Silicon Deposition, and Selective Silicon Etching
  • 2012
  • In: Advanced Functional Materials. - : Wiley-VCH Verlagsgesellschaft. - 1616-301X .- 1616-3028. ; 22:19, s. 4004-4008
  • Journal article (peer-reviewed)abstract
    • A method for additive layer-by-layer fabrication of arbitrarily shaped 3D silicon micro- and nanostructures is reported. The fabrication is based on alternating steps of chemical vapor deposition of silicon and local implantation of gallium ions by focused ion beam (FIB) writing. In a final step, the defined 3D structures are formed by etching the silicon in potassium hydroxide (KOH), in which the local ion implantation provides the etching selectivity. The method is demonstrated by fabricating 3D structures made of two and three silicon layers, including suspended beams that are 40 nm thick, 500 nm wide, and 4 μm long, and patterned lines that are 33 nm wide.
  •  
3.
  •  
4.
  • Ghandi, Reza, et al. (author)
  • High boron incorporation in selective epitaxial growth of SiGe layers
  • 2007
  • In: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 18:7, s. 747-751
  • Journal article (peer-reviewed)abstract
    • Incorporation of high amount of boron in the range of 1 x 10(20)-1 x 10(21) cm(-3) in selective epitaxial growth (SEG) of Si1-xGex (x = 0.15-0.315) layers for recessed or elevated source/drain junctions in CMOS has been studied. The effect of high boron doping on growth rate, Ge content and appearance of defect in the epi-layers was investigated. In this study, integration issues were oriented towards having high layer quality whereas still high amount of boron is implemented and the selectivity of the epitaxy is preserved.
  •  
5.
  • Hållstedt, Julius, et al. (author)
  • Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors
  • 2008
  • In: Journal of Applied Physics. - : AIP Publishing. - 0021-8979 .- 1089-7550. ; 103:5, s. 054907-
  • Journal article (other academic/artistic)abstract
    • This study presents investigations about the physical mechanisms, origin, and methods to control the pattern dependency in selective epitaxial growth of Si1-xGex (x=0.14-0.32) layers. It is shown with a comprehensive experimental study that the local Si coverage of individual chips on patterned wafers is the main parameter for the layer profile in the epitaxial growth. This was explained by the gas depletion of the growth species in the low velocity boundary layer over the wafer. The gas depletion radius around each oxide opening was in the centimeter range which is related to the boundary layer thickness. The results from these experiments were applied to grow Si0.75Ge0.25 layers with B concentration of 4x10(20) cm(-3) selectively for elevated source and drains in fully depleted ultrathin body silicon on insulator p metal oxide semiconductor field effect transistor (p-MOSFET) devices. The epitaxy control was maintained over a wide range of device sizes by optimized process parameters in combination with a wafer pattern design consisting of dummy features causing a uniform gas depletion over the chips on the wafer.
  •  
6.
  • Kolahdouz, Esfahani Mohammadreza, et al. (author)
  • A low cost multi quantum SiGe/Si/Schottky structure for high performance IR detectors
  • 2011
  • In: European Solid-State Device Res. Conf.. - 9781457707056 ; , s. 327-330
  • Conference paper (peer-reviewed)abstract
    • SiGe(C)/Si(C) multi quantum wells (MQWs) individually or in series with a Schottky diode (SQW) have been characterized as the thermistor materials for high performance bolometer application. The thermal response of the thermistor materials is expressed in temperature coefficient of resistance (TCR) and an excellent value of 6%/K is obtained for the SQWs. The noise power spectrum density was also measured and the K 1/f was estimated as low as 4.7×10 -14. The outstanding characteristics for the SQWs are due to low defect density and high interfacial quality in the multilayer structures. These results are very promising for the rising market of low cost IR detectors in the near future.
  •  
7.
  • Kolahdouz Esfahani, Mohammadreza, 1982- (author)
  • Application of SiGe(C) in high performance MOSFETs and infrared detectors
  • 2011
  • Doctoral thesis (other academic/artistic)abstract
    • Epitaxially grown SiGe(C) materials have a great importance for many device applications. In these applications, (strained or relaxed) SiGe(C) layers are grown either selectively on the active areas, or on the entire wafer. Epitaxy is a sensitive step in the device processing and choosing an appropriate thermal budget is crucial to avoid the dopant out–diffusion and strain relaxation. Strain is important for bandgap engineering in (SiGe/Si) heterostructures, and to increase the mobility of the carriers. An example for the latter application is implementing SiGe as the biaxially strained channel layer or in recessed source/drain (S/D) of pMOSFETs. For this case, SiGe is grown selectively in recessed S/D regions where the Si channel region experiences uniaxial strain.The main focus of this Ph.D. thesis is on developing the first empirical model for selective epitaxial growth of SiGe using SiH2Cl2, GeH4 and HCl precursors in a reduced pressure chemical vapor deposition (RPCVD) reactor. The model describes the growth kinetics and considers the contribution of each gas precursor in the gas–phase and surface reactions. In this way, the growth rate and Ge content of the SiGe layers grown on the patterned substrates can be calculated. The gas flow and temperature distribution were simulated in the CVD reactor and the results were exerted as input parameters for the diffusion of gas molecules through gas boundaries. Fick‟s law and the Langmuir isotherm theory (in non–equilibrium case) have been applied to estimate the real flow of impinging molecules. For a patterned substrate, the interactions between the chips were calculated using an established interaction theory. Overall, a good agreement between this model and the experimental data has been presented. This work provides, for the first time, a guideline for chip manufacturers who are implementing SiGe layers in the devices.The other focus of this thesis is to implement SiGe layers or dots as a thermistor material to detect infrared radiation. The result provides a fundamental understanding of noise sources and thermal response of SiGe/Si multilayer structures. Temperature coefficient of resistance (TCR) and noise voltage have been measured for different detector prototypes in terms of pixel size and multilayer designs. The performance of such structures was studied and optimized as a function of quantum well and Si barrier thickness (or dot size), number of periods in the SiGe/Si stack, Ge content and contact resistance. Both electrical and thermal responses of such detectors were sensitive to the quality of the epitaxial layers which was evaluated by the interfacial roughness and strain amount. The strain in SiGe material was carefully controlled in the meta–stable region by implementingivcarbon in multi quantum wells (MQWs) of SiGe(C)/Si(C). A state of the art thermistor material with TCR of 4.5 %/K for 100×100 μm2 pixel area and low noise constant (K1/f) value of 4.4×10-15 is presented. The outstanding performance of these devices is due to Ni silicide contacts, smooth interfaces, and high quality of multi quantum wells (MQWs) containing high Ge content.The novel idea of generating local strain using Ge multi quantum dots structures has also been studied. Ge dots were deposited at different growth temperatures in order to tune the intermixing of Si into Ge. The structures demonstrated a noise constant of 2×10-9 and TCR of 3.44%/K for pixel area of 70×70 μm2. These structures displayed an improvement in the TCR value compared to quantum well structures; however, strain relaxation and unevenness of the multi layer structures caused low signal–to–noise ratio. In this thesis, the physical importance of different design parameters of IR detectors has been quantified by using a statistical analysis. The factorial method has been applied to evaluate design parameters for IR detection improvements. Among design parameters, increasing the Ge content of SiGe quantum wells has the most significant effect on the measured TCR value.
  •  
8.
  • Kolahdouz, Mohammadreza, et al. (author)
  • B-doped SIGE(C) materials for high performance devices
  • 2011
  • In: Boron. - : Nova Science Publishers, Inc.. - 9781617617607 ; , s. 295-326
  • Book chapter (peer-reviewed)abstract
    • B-doping of group IV materials using B2H6 is widely performed in chemical vapor deposition (CVD) technique. The B-doped SiGe layers are grown epitaxially as the base layer in HBTs for increased frequency performance in mixed signal radio frequency (RF) applications. These layers may also apply as a stressor material in source/drain of pMOSFETs for higher carrier mobility in a uniaxially strained channel. Furthermore, contact layers performance in terms of thermal stability and resistivity are improved by adopting highly boron doped (B-doped) layers in various electronic components. However, high diffusion of boron can limit the thermal budget for fabrication of the devices. One way to suppress this problem is integration of carbon in B-doped layers where the carbon diffuses out but boron stays in the SiGe layers. Therefore, growing highly B-doped group IV materials with high thermal stability and layer quality is a challenging issue. This chapter deals with growth kinetics, dopant incorporation, thermal stability, strain compensation, strain relaxation and defect formation of B-doped SiGe layers grown by reduced pressure CVD. The ion implantation and some of its processing issues regarding B-doping will be discussed.
  •  
9.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Carbon-Related Materials : Graphene and Carbon Nanotubes in Semiconductor Applications and Design
  • 2022
  • In: Micromachines. - : MDPI AG. - 2072-666X. ; 13:8
  • Research review (peer-reviewed)abstract
    • As the scaling technology in the silicon-based semiconductor industry is approaching physical limits, it is necessary to search for proper materials to be utilized as alternatives for nanoscale devices and technologies. On the other hand, carbon-related nanomaterials have attracted so much attention from a vast variety of research and industry groups due to the outstanding electrical, optical, mechanical and thermal characteristics. Such materials have been used in a variety of devices in microelectronics. In particular, graphene and carbon nanotubes are extraordinarily favorable substances in the literature. Hence, investigation of carbon-related nanomaterials and nanostructures in different ranges of applications in science, technology and engineering is mandatory. This paper reviews the basics, advantages, drawbacks and investigates the recent progress and advances of such materials in micro and nanoelectronics, optoelectronics and biotechnology.
  •  
10.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Comprehensive Evaluation and Study of Pattern Dependency Behavior in Selective Epitaxial Growth of B-Doped SiGe Layers
  • 2009
  • In: IEEE transactions on nanotechnology. - : Institute of Electrical and Electronics Engineers (IEEE). - 1536-125X .- 1941-0085. ; 8:3, s. 291-297
  • Journal article (peer-reviewed)abstract
    • The influence of chip layout and architecture on the pattern dependency of selective epitaxy of B-doped SiGe layers has been studied. The variations of Ge-, B-content, and growth rate have been investigated locally within a wafer and globally from wafer to wafer. The results are described by the gas depletion theory. Methods to control the variation of layer profile are suggested.
  •  
11.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Comprehensive Evaluation and Study of Pattern Dependency Behavior in Selective Epitaxial Growth of B-Doped SiGe Layers
  • 2009
  • In: IEEE transactions on nanotechnology. - : IEEE. - 1536-125X .- 1941-0085. ; 8:3, s. 291-297
  • Journal article (peer-reviewed)abstract
    • The influence of chip layout and architecture on thepattern dependency of selective epitaxy of B-doped SiGe layers hasbeen studied. The variations of Ge-, B-content, and growth ratehave been investigated locally within a wafer and globally fromwafer to wafer. The results are described by the gas depletion theory.Methods to control the variation of layer profile are suggested.
  •  
12.
  • Kolahdouz, Mohammadreza, et al. (author)
  • High performance infra-red detectors based on Si/SiGe multilayers quantum structure
  • 2012
  • In: Materials Science & Engineering. - : Elsevier BV. - 0921-5107 .- 1873-4944. ; 177:17, s. 1563-1566
  • Journal article (peer-reviewed)abstract
    • Recently, single crystalline (Sc) Si/SiGe multi quantum structure has been recognized as a new low-cost thermistor material for IR detection. Higher signal-to-noise (SNR) ratio and temperature coefficient of resistance (TCR) than existing thermistor materials have converted it to a candidate for infrared (IR) detection in night vision applications. In this study, the effects of Ge content, C doping and the Ni silicidation of the contacts on the performance of SiGe/Si thermistor material have been investigated. Finally, an uncooled thermistor material with TCR of -4.5%/K for 100 μm × 100 μm pixel sizes and low noise constant (K 1/f) value of 4.4 × 10 -15 is presented. The outstanding performance of the devices is due to Ni silicide contacts, smooth interfaces, and high quality multi quantum wells (MQWs) containing high Ge content.
  •  
13.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Improvement of infrared detection using Ge quantum dots multilayer structure
  • 2010
  • In: Applied Physics Letters. - : AIP Publishing. - 0003-6951 .- 1077-3118. ; 96:21, s. 213516-
  • Journal article (peer-reviewed)abstract
    • Monocrystalline SiGe/Si multiquantum dot and well structures have been manufactured/compared as thermistor materials for infrared detection. The performance of the devices (both the thermal and electrical) has been very sensitive to the quality of the epitaxial layers which is evaluated by the interfacial roughness and strain amount. This study demonstrates that the devices containing quantum dots have higher thermal coefficient resistance 3.4%/K with a noise constant (K-1/f) value of 2x10(-9).
  •  
14.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Improving the performance of SiGe-based IR detectors
  • 2010
  • In: Sige, Ge, And Related Compounds 4. - : Electrochemical Society. - 9781607681755 ; , s. 221-225
  • Conference paper (peer-reviewed)abstract
    • During recent years, single crystalline (Sc) SiGe has been recognized as a new low cost thermistor material for IR detection. In this study the effect of Ge content, pixel size and the Ni silicide on the performance of SiGe/Si thermistor material have been presented. The noise level was decreased for more than one order of magnitude when the Ni silicide layer was integrated below the metal contacts. The silicidation slightly improved TCR values for the detectors(+0.22%/K). However, Increasing the Ge content had the most significant effect on the TCR. A statistical analysis was applied to evaluate the effect of each parameter. It was found using the factorial method that decreasing the pixel size will enhance the TCR value.
  •  
15.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Kinetic Model of SiGe Selective Epitaxial Growth Using RPCVD Technique
  • 2010
  • In: Sige, Ge, And Related Compounds 4. - : Electrochemical Society. - 9781607681755 ; 33:6, s. 581-593
  • Conference paper (peer-reviewed)abstract
    • Recently, selective epitaxial growth (SEG) of B-doped SiGe layers has been used in recessed source/drain (S/D) of pMOSFETs. The uniaxial induced strain enhances the carrier mobility in the channel. In this work, a detailed model for SEG of SiGe has been developed to predict the growth rate and Ge content of layers in dichlorosilane(DCS)-based epitaxy using a reduced-pressure CVD reactor. The model considers each gas precursor contributions from the gas-phase and the surface. The gas flow and temperature distribution were simulated in the CVD reactor and the results were exerted as input parameters for Maxwell energy distribution. The diffusion of molecules from the gas boundaries was calculated by Fick's law and Langmuir isotherm theory (in non-equilibrium case) was applied to analyze the surface. The pattern dependency of the selective growth was also modeled through an interaction theory between different subdivisions of the chips. Overall, a good agreement between the kinetic model and the experimental data were obtained.
  •  
16.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Kinetic Model of SiGe Selective Epitaxial Growth Using RPCVD Technique
  • 2011
  • In: Journal of the Electrochemical Society. - : The Electrochemical Society. - 0013-4651 .- 1945-7111. ; 158:4, s. H457-H464
  • Journal article (peer-reviewed)abstract
    • Recently, selective epitaxial growth (SEG) of B-doped SiGe layers has been used in recessed source/drain (S/D) of pMOSFETs. The uniaxial induced strain enhances the carrier mobility in the channel. In this work, a detailed model for SEG of SiGe has been developed to predict the growth rate and Ge content of layers in dichlorosilane(DCS)-based epitaxy using a reduced-pressure CVD reactor. The model considers each gas precursor contributions from the gas-phase and the surface. The gas flow and temperature distribution were simulated in the CVD reactor and the results were exerted as input parameters for Maxwell energy distribution. The diffusion of molecules from the gas boundaries was calculated by Fick's law and Langmuir isotherm theory (in non-equilibrium case) was applied to analyze the surface. The pattern dependency of the selective growth was also modeled through an interaction theory between different subdivisions of the chips. Overall, a good agreement between the kinetic model and the experimental data were obtained.
  •  
17.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Kinetic Modeling of Low Temperature Epitaxy Growth of SiGe Using Disilane and Digermane
  • 2012
  • In: Journal of the Electrochemical Society. - : The Electrochemical Society. - 0013-4651 .- 1945-7111. ; 159:5, s. H478-H481
  • Journal article (peer-reviewed)abstract
    • Low temperature epitaxy (LTE) in Chemical Vapor Deposition (CVD) refers to 350-650 degrees C interval. This temperature range is critical for this process since the thermal and lattice mismatch (or strain relaxation) issues diminish in advanced BiCMOS processing. The modeling of the epitaxy process is a vital task to increase the understanding the growth process and to design any desired device structure. In this study, an empirical model for Si2H6/Ge2H6-based LTE of SiGe is developed and compared with experimental work. The model can predict the number of free sites on Si surface, growth rate of Si and SiGe, and the Ge content at low temperatures. A good agreement between the model and the experimental data is obtained.
  •  
18.
  • Kolahdouz, Mohammadreza, et al. (author)
  • New method to calibrate the pattern dependency of selective epitaxy of SiGe layers
  • 2009
  • In: Solid-State Electronics. - : Elsevier BV. - 0038-1101 .- 1879-2405. ; 53:8, s. 858-861
  • Journal article (peer-reviewed)abstract
    • Selective epitaxial growth (SEG) of Si1-xGex layers on patterned substrates containing isolated, grouped and global chips has been investigated. The interaction between chips on a wafer was studied, and the results are explained by kinetic gas theory for CVD techniques. A test pattern was designed with a series of grouped chips to calibrate the pattern dependency of SEG (both growth rate and Ge content). The amount of exposed Si coverage on chips in the test pattern ranged between 0.05 and 37%. The layer profile of the calibration pattern was compared to profiles on wafers having a global chip design. A model was developed to estimate the Ge content on substrates with a global design.
  •  
19.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Selective Epitaxial Growth with Full Control of Pattern Dependency Behavior for pMOSFET Structures
  • 2008
  • In: SIGE, GE, AND RELATED COMPOUNDS 3. - : The Electrochemical Society. - 9781566776561 ; , s. 153-158
  • Conference paper (peer-reviewed)abstract
    • This study presents a way to design chips to obtain uniform selective epitaxial growth of SiGe layers in pMOSPET structures. The pattern dependency behavior of tile growth has been controlled over different sizes of transistors. It is shown that the exposed Si coverage of the chip is the main parameter in order to maintain control of the layer profile. This has been explained by gas depletion theory of the growth species in tile stationary boundary layer over tile wafer. The control of SiGe layer profile has been obtained over a wide range of device sizes by optimized process parameters in combination with a water pattern design consisting of dummy features causing uniform gas depletion over the chips of the wafer.
  •  
20.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Selective Epitaxial Growth with Full Control of Pattern Dependency Behavior for pMOSFET Structures
  • 2009
  • In: Journal of the Electrochemical Society. - : The Electrochemical Society. - 0013-4651 .- 1945-7111. ; 156:3, s. H169-H171
  • Journal article (peer-reviewed)abstract
    • This study presents a way to design chips to obtain uniform selective epitaxial growth of SiGe layers in p-type metal-oxide-semiconductor field-effect transistor (pMOSFET) structures. The pattern dependency behavior of the growth has been controlled over different sizes of transistors. It is shown that the exposed Si coverage of the chip is the main parameter in order to maintain control of the layer profile. This has been explained by the gas depletion theory of the growth species in the stationary boundary layer over the water. Control of the SiGe layer profile has been obtained over a wide range of device sizes by optimized process parameters in combination with a wafer pattern design consisting of dummy features causing uniform gas depletion over the chips of the wafer.
  •  
21.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Selective Growth of B- and C-Doped SiGe Layers in Unprocessed and Recessed Si Openings for p-type Metal-Oxide-Semiconductor Field-Effect Transistors Application
  • 2010
  • In: Journal of the Electrochemical Society. - : The Electrochemical Society. - 0013-4651 .- 1945-7111. ; 157:6, s. H633-H637
  • Journal article (peer-reviewed)abstract
    • This work presents the pattern dependency of the selective epitaxial growth of boron- and carbon-doped SiGe layers in recessed and unprocessed openings. The layer profile is dependent on deposition time, chip layout, and growth parameters. Carbon and boron doping compensates for the strain in SiGe layers, and when both dopants are introduced, the strain reduction is additive. The incorporation of boron and carbon in the SiGe matrix is a competitive action. The concentration of carbon decreases, whereas the boron amount increases in SiGe layers with higher Ge content. In recessed openings, the Ge content is independent of the recess depth. The strain amount in the grown layers is graded vertically, which is due to the thickness of the epilayer exceeding the critical thickness.
  •  
22.
  • Kolahdouz, Mohammadreza, et al. (author)
  • Selective growth of B- and C-doped SiGe layers in unprocessed and recessed Si openings for pMOSFET application
  • 2009
  • In: EUROCVD 17 / CVD 17. - : Electrochemical Society. - 9781566777452 ; , s. 81-88
  • Conference paper (peer-reviewed)abstract
    • This work presents pattern dependency of selective epitaxial growth of boron- or carbon-doped SiGe layers in recessed or unprocessed openings. The layer profile and quality of epi-layers were found to be dependent on chip layout and the growth parameters. Carbon- and boron-doping compensated the strain in SiGe layers and when both dopants are introduced the strain reduction was additive. The incorporation of boron and carbon in SiGe matrix showed to be a competitive action. The concentration of carbon decreased when the boron amount increased in SiGe layers with higher Ge content.
  •  
23.
  • Kolahdouz, Mohammadreza, et al. (author)
  • The performance improvement evaluation for SiGe-based IR detectors
  • 2011
  • In: Solid-State Electronics. - : Elsevier BV. - 0038-1101 .- 1879-2405. ; 62:1, s. 72-76
  • Journal article (peer-reviewed)abstract
    • During recent years, single crystalline (Sc) SiGe has been recognized as a new low cost thermistor material for IR detection. In this study the effect of Ge content, pixel size and the Ni silicide on the performance of SiGe/Si thermistor material have been presented. The noise level was decreased for more than one order of magnitude when the Ni silicide layer was integrated below the metal contacts. The silicidation slightly improved TCR values for the detectors (+0.22%/K). However, increasing the Ge content had the most significant effect on the TCR. A statistical analysis was applied to evaluate the effect of each parameter. Using the factorial method, it was realized that decreasing the pixel size would enhance the TCR value.
  •  
24.
  • Majdi, Saman, et al. (author)
  • Single crystal diamond for infrared sensing applications
  • 2014
  • In: Applied Physics Letters. - : AIP Publishing. - 0003-6951 .- 1077-3118. ; 105:16, s. 163510-
  • Journal article (peer-reviewed)abstract
    • The synthesis of new materials for thermal infrared (IR) detection has been an intensive research area in recent years. Among new semiconductor materials, synthetic diamond has the ability to function even under very high temperature and high radiation conditions. In the present work, diamond Schottky diodes with boron concentrations in the range of 1014 < B < 1017 cm−3 are presented as candidates for IR thermal sensors with an excellent temperature coefficient of resistance (−8.42%/K) and very low noise levels around 6.6 × 10−15 V2/Hz. This enables huge performance enhancements for a wide variety of systems, e.g., automotive and space applications.
  •  
25.
  •  
26.
  • Malm, Gunnar B., et al. (author)
  • Micromechanical Process Integration and Material Optimization for High Performance Silicon-Germanium Bolometers
  • 2012
  • In: 2012 MRS Spring Meeting - Symposium L – Group IV Photonics for Sensing and Imaging. - : Materials Research Society.
  • Conference paper (other academic/artistic)abstract
    • Semiconductor-based thermistors are very attractive sensor materials for uncooled thermal infrared (IR) bolometers. Very large scale heterogeneous integration of MEMS is an emerging technology that allows the integration of epitaxially grown, high-performance IR bolometer thermistor materials with pre-processed CMOS-based integrated circuits for the sensor read-out. Thermistor materials based on alternating silicon (Si) and silicon-germanium (SiGe) epitaxial layers have been demonstrated and their performance is continuously increasing. Compared to a single layer of silicon or SiGe, the temperature coefficient of resistance (TCR) can be strongly enhanced to about 3 %/K, by using thin alternating layers. In this paper we report on the optimization of alternating Si/SiGe layers by advanced physically based simulations, including quantum mechanical corrections. Our simulation framework provides reliable predictions for a wide range of SiGe layer compositions, including concentration gradients. Finally, our SiGe thermistor layers have been evaluated in terms of low-frequency noise performance, in order to optimize the bolometer detectivity.
  •  
27.
  • Malm, Gunnar, 1972-, et al. (author)
  • Quantum Mechanical TCAD Study of Epitaxial SiGe Thermistor Layers
  • 2012
  • In: International Conference on Simulation of Semiconductor Processes and Devices, SISPAD. ; , s. 173-176
  • Conference paper (peer-reviewed)abstract
    • The thermal coefficient of resistance (TCR) for epitaxial silicon-germanium (SiGe) layers has been analyzed by experiment and simulation. Predictive simulation using drift-diffusion formalism and self-consistent quantum-mechanical solutions yielded similar results, TCR around 2%/K at 300 K. This modeling approach can be used for different, graded and constant, SiGe profiles,. It is also capable of predicting the influence of background auto-doping on the TCR of the detectors.
  •  
28.
  • Moeen, Mahdi, et al. (author)
  • Combined Si Schottky barriers and SiGe/Si multi quantum wells for infrared detection
  • 2011
  • In: Int. Semicond. Device Res. Symp., ISDRS. - 9781457717550
  • Conference paper (peer-reviewed)abstract
    • Un-cooled bolometer arrays have been considered as good choices for detection of infrared waves in the ranges of 3-5m (MWIR: mid wavelength infrared) and 8-12m (LWIR: long wavelength infrared). Advantages are found in their relative simplicity of mechanism and design, hence, fabrication cost, when compared to detectors working based on photon detection mechanisms. A temperature dependent resistor (or thermistor) is the core element of a bolometer. The rate of resistance dependency to temperature is a figure-of-merit for thermistor material, acting as the active element in a bolometer. This property is characterized by temperature coefficient of resistance (TCR). At the same time, for the better IR detection and imaging quality, high signal-to-noise ratio (SNR) is also sought. Different materials have been proposed and/or implemented commercially to work as thermistor materials. Among them are VOx, amorphous silicon, amorphous and poly SiGe.
  •  
29.
  •  
30.
  • Radamson, Henry H., et al. (author)
  • Carbon-doped single-crystalline SiGe/Si thermistor with high temperature coefficient of resistance and low noise level.
  • 2010
  • In: Applied Physics Letters. - : AIP Publishing. - 0003-6951 .- 1077-3118. ; 97:22, s. 223507-
  • Journal article (peer-reviewed)abstract
    • SiGe (C)/Si(C) multiquantum wells have been studied as a thermistor material for future bolometers. A thermistor material for uncooled Si-based thermal detectors with thermal coefficient of resistance of 4.5%/K for 100 x 100 mu m(2) pixel sizes and low noise constant (K-1/f) value of 4.4 x 10(-15) is presented. The outstanding performance of the devices is due to Ni-silicide contacts, smooth interfaces, and high quality multiquantum wells containing high Ge content.
  •  
31.
  • Radamson, Henry H., et al. (author)
  • High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers
  • 2008
  • In: Materials Science & Engineering. - : Elsevier BV. - 0921-5107 .- 1873-4944. ; 154, s. 106-109
  • Journal article (peer-reviewed)abstract
    • This work presents the selective epitaxial growth (SEG) of Si1-xGex (x=0.15-0.315) layers with high amount of boron (1 x 10(20)-1 x 10(21) cm(-3)) in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been Studied. The influence of the growth rate and strain on boron incorporation has been studied. A focus has been made on the strain distribution and boron incorporation in SEG of SiGe layers.
  •  
32.
  • Radamson, Henry H., et al. (author)
  • Selective epitaxy growth of Si1-xGex layers for MOSFETs and FinFETs
  • 2015
  • In: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 26:7, s. 4584-4603
  • Journal article (peer-reviewed)abstract
    • This article reviews the selective epitaxy growth of intrinsic, B- and C-doped SiGe layers on recessed (or flat) exposed Si areas for MOSFETs as well as on Si-fins for FinFETs. A detailed empirical model for the growth, integration issues including epitaxy quality, selectivity, dopant incorporation, and pattern dependency (or loading effect) is presented.
  •  
33.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-33 of 33
Type of publication
journal article (18)
conference paper (12)
doctoral thesis (1)
research review (1)
book chapter (1)
Type of content
peer-reviewed (28)
other academic/artistic (5)
Author/Editor
Kolahdouz, Mohammadr ... (31)
Radamson, Henry H. (24)
Östling, Mikael (16)
Hållstedt, Julius (6)
Ghandi, Reza (6)
Wise, R (5)
show more...
Radamson, Henry (5)
Khatibi, Ali (4)
Niklaus, Frank (4)
Di Benedetto, Luigi (4)
Farniya, Ali Afshar (4)
Moeen, Mahdi (3)
Malm, Gunnar B. (3)
Shayestehaminzadeh, ... (3)
Maresca, Luca (3)
Forsberg, Fredrik (2)
Malm, B. Gunnar (2)
Gylfason, Kristinn B ... (2)
Stemme, Göran (2)
Fischer, Andreas C., ... (2)
Salemi, Arash (2)
Wise, Rick (2)
Riley, Deborah J. (2)
Farniya, A. Afshar (2)
Adibi, P. Tabib Zade ... (2)
Lu, Jun (1)
Yamamoto, Y. (1)
Riley, D (1)
Abedin, Ahmad (1)
Roxhed, Niclas (1)
Vieider, C. (1)
Xu, Buqing (1)
Isberg, Jan (1)
Andersson, J. Y. (1)
Wissmar, Stanley (1)
Majdi, Saman (1)
Belova, Lyubov M. (1)
Balmer, R. S. (1)
Belova, Liubov M. (1)
Malm, Gunnar, 1972- (1)
Rikers, Yuri G.M. (1)
Wejtmans, H. (1)
Kolahdouz, Esfahani ... (1)
Kolahdouz Esfahani, ... (1)
Xie, Ya-Hong (1)
Nasiri, Aryanaz Fagh ... (1)
Fathollahzadeh, Mary ... (1)
Manian, Mahmoud (1)
Aghababa, Hossein (1)
Wu, Yuanyuan (1)
show less...
University
Royal Institute of Technology (31)
Uppsala University (2)
Linköping University (2)
Mid Sweden University (1)
Language
English (33)
Research subject (UKÄ/SCB)
Engineering and Technology (18)
Natural sciences (6)

Year

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view