SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Lemme E) "

Search: WFRF:(Lemme E)

  • Result 1-20 of 20
Sort/group result
   
EnumerationReferenceCoverFind
1.
  •  
2.
  • Balestra, F., et al. (author)
  • NANOSIL network of excellence-silicon-based nanostructures and nanodevices for long-term nanoelectronics applications
  • 2008
  • In: Materials Science in Semiconductor Processing. - : Elsevier BV. - 1369-8001 .- 1873-4081. ; 11:5-6, s. 148-159
  • Journal article (peer-reviewed)abstract
    • NANOSIL Network of Excellence [NANOSIL NoE web site < www.nanosil-noe.eu >], funded by the European Commission in the 7th Framework Programme (ICT-FP7, no 216171), aims at European scale integration of the excellent European research laboratories and their capabilities in order to strengthen scientific and technological excellence in the field of nanoelectronic materials and devices for terascale integrated circuits (ICs), and to disseminating the results in a wide scientific and industrial community. NANOSIL is exploring and assessing the science and technological aspects of nanodevices and operational regimes relevant to the n+4 technology node and beyond. It encompasses projects on nanoscale CMOS and beyond-CMOS. Innovative concepts, technologies and device architectures are proposed-with fabrication down to the finest features, and utilising a wide spectrum of advanced deposition and processing capabilities, extensive characterization and very rigorous device modeling. This work is carried out through a network of joint processing, characterization and modeling platforms. This critical interaction strengthens European integration in nanoelectronics and will speed up technological innovation for the nanoelectronics of the next two to three decades.
  •  
3.
  • Abermann, S., et al. (author)
  • Comparative study on the impact of TiN and Mo metal gates on MOCVD-grown HfO2 and ZrO2 high-kappa dielectrics for CMOS technology
  • 2007
  • In: Physics of Semiconductors, Pts A and B. - : AIP. - 9780735403970 ; , s. 293-294
  • Conference paper (peer-reviewed)abstract
    • We compare metal oxide semiconductor capacitors, investigating Titanium-Nitride and Molybdenum as gate materials, as well as metal organic chemical vapor deposited ZrO2 and HfO2 as high-kappa dielectrics, respectively. The impact of different annealing steps on the electrical characteristics of the various gate stacks is a further issue. The positive effect of post metallization annealing in forming gas atmosphere as well as observed mid-gap pinning of TiN and Mo metal gates is presented.
  •  
4.
  • Abermann, S., et al. (author)
  • Impact of Al-, Ni-, TiN-, and Mo-metal gates on MOCVD-grown HfO2 and ZrO2 high-k dielectrics
  • 2007
  • In: Microelectronics and reliability. - : Elsevier BV. - 0026-2714 .- 1872-941X. ; 47:4-5, s. 536-539
  • Journal article (peer-reviewed)abstract
    • In this work we compare the impacts of nickel (Ni), titanium-nitride (TiN), molybdenum (Mo), and aluminium (Al), gates on MOS capacitors incorporating HfO2- or ZrO2-dielectrics. The primary focus lies on interface trapping, oxide charging, and thermodynamical stability during different annealing steps of these gate stacks. Whereas Ni, Mo, and especially TIN are investigated as most promising candidates for future CMOS devices, Al acted as reference gate material to benchmark the parameters. Post-metallization annealing of both, TiN- and Mo-stacks, resulted in very promising electrical characteristics. However, gate stacks annealed at temperatures of 800 degrees C or 950 degrees C show thermodynamic instability and related undesirable high leakage currents.
  •  
5.
  • Abermann, S., et al. (author)
  • Processing and evaluation of metal gate/high-k/Si capacitors incorporating Al, Ni, TiN, and Mo as metal gate, and ZrO2 and HfO2 as high-k dielectric
  • 2007
  • In: Microelectronic Engineering. - : Elsevier BV. - 0167-9317 .- 1873-5568. ; 84:5-8, s. 1635-1638
  • Journal article (peer-reviewed)abstract
    • We evaluate various metal gate/high-k/Si capacitors by their resulting electrical characteristics. Therefore, we process MOS gate stacks incorporating aluminium (Al), nickel (Ni), titanium-nitride (TiN), and molybdenum (Mo) as the gate material, and metal organic chemical vapour deposited (MOCVD) ZrO2 and HfO2 as the gate dielectric, respectively. The influence of the processing sequence - especially of the thermal annealing treatment - on the electrical characteristics of the various gate stacks is being investigated. Whereas post metallization annealing in forming gas atmosphere improves capacitance-voltage behaviour (due to reduced interface-, and oxide charge density), current-voltage characteristics degrade due to a higher leakage current after thermal treatment at higher temperatures. The Flatband-voltage values for the TiN-, Mo-, and Ni-capacitors indicate mid-gap pinning of the metal gates, however, Ni seems to be thermally unstable on ZrO2, at least within the process scheme we applied.
  •  
6.
  •  
7.
  • Abermann, S., et al. (author)
  • Processing and evaluation of metal gate/high-kappa/Si capacitors incorporating Al, Ni, TiN, and Mo as metal gate, and ZrO2 and HfO2 as high-kappa dielectric
  • 2007
  • In: Microelectronic Engineering. - : Elsevier BV. - 0167-9317 .- 1873-5568. ; 84:5-8, s. 1635-1638
  • Journal article (peer-reviewed)abstract
    • We evaluate various metal gate/high-K/Si capacitors by their resulting electrical characteristics. Therefore, we process MOS gate stacks incorporating aluminium (Al), nickel (Ni), titanium-nitride (TiN), and molybdenum (Mo) as the gate material, and metal organic chemical vapour deposited (MOCVD) ZrO2 and HfO2 as the gate dielectric, respectively. The influence of the processing sequence - especially of the thermal annealing treatment - on the electrical characteristics of the various gate stacks is being investigated. Whereas post metallization annealing in forming gas atmosphere improves capacitance-voltage behaviour (due to reduced interface-, and oxide charge density), current-voltage characteristics degrade due to a higher leakage current after thermal treatment at higher temperatures. The Flatband-voltage values for the TiN-, Mo-, and Ni-capacitors indicate mid-gap pinning of the metal gates, however, Ni seems to be thermally unstable on ZrO2, at least within the process scheme we applied.
  •  
8.
  • Benetti, M., et al. (author)
  • POLYSILICON MESOSCOPIC WIRES COATED BY Pd AS H(2) SENSORS
  • 2009
  • In: PROCEEDINGS OF THE 13TH ITALIAN CONFERENCE ON SENSORS AND MICROSYSTEMS. - SINGAPORE : WORLD SCIENTIFIC PUBL CO PTE LTD. ; , s. 161-165
  • Conference paper (peer-reviewed)abstract
    • In this work a novel monocrystalline silicon nanowires array has been investigated and presented as hydrogen sensor, designed and fabricated by employing high resolution microfabrication techniques and featuring a high surface/volume ratio. The nanowires arrays makes up the channel of a MOS system, palladium-silicon dioxide-silicon. Several devices have been fabricated by using a SOI (Silicon On Insulator) substrate, Source and Drain have been geometrically patterned by optical lithography and Boron p-doped. Electron Beam Litography (EBL) defined the MOS channel made up of a nanowires array of different length and width in different transistors. The pads of Source and Drain have been manufactured with an aluminium film deposition. The Gate has been fabricated with a grown silicon oxide layer (17.4 nm) and Palladium has been used as gate contact. Polarizing and exposing the device to H(2)/N(2) cycles at different concentrations some preliminary measurements have been successfully conducted.
  •  
9.
  • Czernohorsky, M., et al. (author)
  • Stability of crystalline Gd(2)O(3) thin films on silicon during rapid thermal annealing
  • 2008
  • In: Semiconductor Science and Technology. - : IOP Publishing. - 0268-1242 .- 1361-6641. ; 23:3, s. 035010-
  • Journal article (peer-reviewed)abstract
    • We investigate the impact of rapid thermal anneals on structural and electrical properties of crystalline Gd(2)O(3) layers grown on Si with different orientations. Due to additional oxygen from the annealing ambient, a structureless two-layer stack ( silicon-oxide-like and silicate-like) between the silicon and the crystalline oxide will be formed. The degradation of layers can be significantly reduced by sealing the layer with a-Si prior to annealing. For the capped layers, the effective capacitance equivalent thickness increases only slightly even after a 1000 degrees C anneal.
  •  
10.
  • Gottlob, H. D. B., et al. (author)
  • 0.86-nm CET gate stacks with epitaxial Gd2O3 high-k dielectrics and FUSINiSi metal electrodes
  • 2006
  • In: IEEE Electron Device Letters. - 0741-3106 .- 1558-0563. ; 27:10, s. 814-816
  • Journal article (peer-reviewed)abstract
    • In this letter, ultrathin gadolinium oxide (Gd2O3) high-kappa gate dielectrics with complementary-metal-oxide-semiconductor (CMOS)-compatible fully silicided nickel-silicide metal gate electrodes are reported for the first time. MOS capacitors with a Gd2O3 thickness of 3.1 nm yield a capacitance equivalent oxide thickness of CET = 0.86 nm. The extracted dielectric constant is kappa =-13-14. Leakage currents and equivalent oxide thicknesses of this novel gate stack meet the International Technology Roadmap for Semiconductors targets for the near term schedule and beyond.
  •  
11.
  • Gottlob, H. D. B., et al. (author)
  • CMOS integration of epitaxial Gd(2)O(3) high-k gate dielectrics
  • 2006
  • In: Solid-State Electronics. - : Elsevier BV. - 0038-1101 .- 1879-2405. ; 50:6, s. 979-985
  • Journal article (peer-reviewed)abstract
    • Epitaxial gadolinium oxide (Gd(2)O(3)) high-k dielectrics are investigated with respect to their CMOS compatibility in metal oxide semiconductor (MOS) capacitors and field effect transistors (MOSFETs). MOS capacitors with various gate electrodes are exposed to typical CMOS process steps and evaluated with capacitance voltage (CV) and current voltage (JV) measurements. The effects of high temperature processes on thermal stabilities of channel/dielectric and dielectric/gate electrode interfaces is studied in detail. A feasible CMOS process with epitaxial gate oxides and metal gate electrodes is identified and demonstrated by a fully functional n-MOSFET for the first time.
  •  
12.
  • Hurley, P. K., et al. (author)
  • Interface defects in HfO2, LaSiOx, and Gd2O3 high-k/metal-gate structures on silicon
  • 2008
  • In: Journal of the Electrochemical Society. - : The Electrochemical Society. - 0013-4651 .- 1945-7111. ; 155:2, s. G13-G20
  • Journal article (peer-reviewed)abstract
    • In this work, we present experimental results examining the energy distribution of the relatively high (> 1 X 10(11) cm(-2)) electrically active interface defects which are commonly observed in high-dielectric-constant (high-k) metal-insulator-silicon systems during high-k process development. This paper extends previous studies on the Si(100)/SiOx/HfO2 system to include a comparative analysis of the density and energy distribution of interface defects for HfO2, lanthanum silicate (LaSiOx), and Gd2O3 thin films on (100) orientation silicon formed by a range of deposition techniques. The analysis of the interface defect density across the energy gap, for samples which experience no H-2/N-2 annealing following the gate stack formation, reveals a peak density (similar to 2 X 10(12) cm(-2) eV(-1) to similar to 1 X 10(13) cm(-2) eV(-1)) at 0.83-0.92 eV above the silicon valence bandedge for the HfO2, LaSiOx, and Gd2O3 thin films on Si (100). The characteristic peak in the interface state density (0.83-0.92 eV) is obtained for samples where no interface silicon oxide layer is observed from transmission electron microscopy. Analysis suggests silicon dangling bond (P-bo) centers as the common origin for the dominant interface defects for the various Si(100)/SiOx/high-k/metal gate systems. The results of forming gas (H-2/N-2) annealing over the temperature range 350-555 degrees C are presented and indicate interface state density reduction, as expected for silicon dangling bond centers. The technological relevance of the results is discussed. (c) 2007 The Electrochemical Society.
  •  
13.
  •  
14.
  • Hurley, P.K., et al. (author)
  • Interface Defects in HfO2, LaSiOx, and Gd2O3 High-k/MetalGate Structures on Silicon
  • 2008
  • In: J. Electrochem. Soc.. ; 155:2, s. G13-G20
  • Journal article (peer-reviewed)abstract
    • In this work, we present experimental results examining the energy distribution of the relatively high (>1×10^11 cm−2) electrically active interface defects which are commonly observed in high-dielectric-constant (high-k) metal–insulator–silicon systems during high-k process development. This paper extends previous studies on the Si(100)/SiOx/HfO2 system to include a comparative analysis of the density and energy distribution of interface defects for HfO2, lanthanum silicate (LaSiOx), and Gd2O3 thin films on (100) orientation silicon formed by a range of deposition techniques. The analysis of the interface defect density across the energy gap, for samples which experience no H2/N2 annealing following the gate stack formation, reveals a peak density (~2×10^12 cm−2 eV−1 to ~1×10^13 cm−2 eV−1) at 0.83–0.92 eV above the silicon valence bandedge for the HfO2, LaSiOx, and Gd2O3 thin films on Si(100). The characteristic peak in the interface state density (0.83–0.92 eV) is obtained for samples where no interface silicon oxide layer is observed from transmission electron microscopy. Analysis suggests silicon dangling bond (Pbo) centers as the common origin for the dominant interface defects for the various Si(100)/SiOx/high-k/metal gate systems. The results of forming gas (H2/N2) annealing over the temperature range 350–555°C are presented and indicate interface state density reduction, as expected for silicon dangling bond centers. The technological relevance of the results is discussed.
  •  
15.
  •  
16.
  • Llatser, I., et al. (author)
  • Characterization of graphene-based nano-antennas in the terahertz band
  • 2012
  • In: Proceedings of 6th European Conference on Antennas and Propagation, EuCAP 2012. - : IEEE. - 9781457709180 ; , s. 194-198
  • Conference paper (peer-reviewed)abstract
    • Graphene-enabled wireless communications constitute a novel paradigm which has been proposed to implement wireless communications at the nanoscale. Indeed, graphene-based nano-antennas just a few micrometers in size have been predicted to radiate electromagnetic waves at the terahertz band. In this work, the performance of a graphene-based nano-patch antenna in transmission and reception is numerically analyzed. The resonance frequency of the nano-antenna is calculated as a function of its length and width, both analytically and by simulation. The influence of a dielectric substrate with a variable size, and the position of the patch with respect to the substrate is also evaluated. Finally, the radiation pattern of a graphene-based nano-patch antenna is compared to that of an equivalent metallic antenna. These results will prove useful for designers of future graphene-based nano-antennas, which will enable wireless communications at the nanoscale.
  •  
17.
  • Negm, N., et al. (author)
  • Graphene waveguide-integrated thermal infrared emitter
  • 2022
  • In: Device Research Conference - Conference Digest, DRC. - : Institute of Electrical and Electronics Engineers (IEEE).
  • Conference paper (peer-reviewed)abstract
    • Low-cost and easily integrable mid-infrared (MIR) sources are highly desired for photonic integrated circuits. Thermal incandescent MIR sources are widely used. They work by Joule heating, i.e. an electrical current through the emitter causes thermal emission according to Planck's law. Their simple design with only two contact pads makes them integrable with typical optoelectronic components in high-volume production flows. Graphene's emissivity is comparable to common metallic emitters. In contrast to the latter, graphene is transparent at MIR wavelengths, which enables placing large area graphene emitters in the evanescent field of integrated waveguides [1]-[2]. This enhances emission by near-field coupling directly into the waveguide mode, avoiding the mode-mismatch to free space. Here, we present the first experimental demonstration of a graphene emitter placed directly on a photonic waveguide, hence emitting directly into the waveguide mode. 
  •  
18.
  • Peibst, R., et al. (author)
  • PECVD grown Ge nanocrystals embedded in SiO(2) : From disordered to templated self-organization
  • 2009
  • In: MICROELECTRONICS JOURNAL. - : Elsevier BV. - 0026-2692. ; 40:4-5, s. 759-761
  • Journal article (peer-reviewed)abstract
    • We present a new "templated self-organization" method for the preparation of Ge nanocrystals in SiO(2) that combines a bottom-up with a top-down approach for nanostructuring. Ge nanocrystals are formed by self-organization induced by thermal annealing of thin Ge films embedded ill SiO(2) whose areas are predefined by nanoimprint patterning. Thus Much smaller Structure sizes call be achieved than by pure nanostructuring and touch more regular structures call be prepared than by pure self-organization. in particular, the method enables the generation of Ge nanocrystals of equal size at predefined vertical and lateral positions thus facilitating the fabrication of nanoscaled devices due to the Suppression of Structural fluctuations.
  •  
19.
  • Schwarz, Mike, et al. (author)
  • The Schottky barrier transistor in emerging electronic devices
  • 2023
  • In: Nanotechnology. - 1361-6528 .- 0957-4484. ; 34:35
  • Research review (peer-reviewed)abstract
    • This paper explores how the Schottky barrier (SB) transistor is used in a variety of applications and material systems. A discussion of SB formation, current transport processes, and an overview of modeling are first considered. Three discussions follow, which detail the role of SB transistors in high performance, ubiquitous and cryogenic electronics. For high performance computing, the SB typically needs to be minimized to achieve optimal performance and we explore the methods adopted in carbon nanotube technology and two-dimensional electronics. On the contrary for ubiquitous electronics, the SB can be used advantageously in source-gated transistors and reconfigurable field-effect transistors (FETs) for sensors, neuromorphic hardware and security applications. Similarly, judicious use of an SB can be an asset for applications involving Josephson junction FETs.
  •  
20.
  • Östling, Mikael, et al. (author)
  • Atomic layer deposition-based interface engineering for high-k/metal gate stacks
  • 2012
  • In: ICSICT 2012 - 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, Proceedings. - : IEEE. - 9781467324724 ; , s. 6467643-
  • Conference paper (peer-reviewed)abstract
    • This review will discuss the in-situ surface engineering of active channel surfaces prior to or during the ALD high-k/metal gate deposition process. We will show that by carefully choosing ALD in-situ pre-treatment methods and precursor chemistries relevant electrical properties for future high-k dielectrics can be improved. Different high-k dielectrics such as Hafnium-Oxide (HfO2), Aluminum-Oxide (Al2O3), Lanthanum-Lutetium-Oxide (LaLuO3) and Lanthanum-Oxide (La 2O3) for CMOS-based device technology are investigated in combination with Silicon (Si) and Germanium (Ge) substrates. Additionally, the use of ALD for deposition of a high-k dielectric gate stack on Graphene is discussed.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-20 of 20

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view