SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Ye Tianchun) "

Search: WFRF:(Ye Tianchun)

  • Result 1-12 of 12
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • Duan, Ningyuan, et al. (author)
  • Reduction of NiGe/n- and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation
  • 2016
  • In: IEEE Transactions on Electron Devices. - : IEEE. - 0018-9383 .- 1557-9646. ; 63:11, s. 4546-4549
  • Journal article (peer-reviewed)abstract
    • This brief explores the specific contact resistivity (rho(c)) of NiGe/n- and p-Ge contacts with and without carbon pregermanidation implantation. It is found that in the presence of carbon, not only the thermal stability of NiGe films is improved, but also the rho(c) of the NiGe/n- and p-Ge contacts is reduced remarkably due to enhanced phosphorus (P) and boron (B) dopant segregation (DS) at the NiGe/Ge interface after nickel germanidation. At 500 degrees C germanidation temperature, the.c values are reduced from 1.1 x 10(-4) Omega-cm(2) and 2.9 x 10(-5) Omega-cm(2) for NiGe/n- and p-Ge contacts without carbon to 7.3 x 10(-5) Omega-cm(2) and 1.4 x 10(-5) Omega-cm(2) for their counterparts with carbon, respectively.
  •  
2.
  • Lixing, Zhou, et al. (author)
  • Understanding dipole formation at dielectric/dielectric hetero-interface
  • 2018
  • In: Applied Physics Letters. - : AIP Publishing. - 0003-6951 .- 1077-3118. ; 113:18
  • Journal article (peer-reviewed)abstract
    • Band alignment and dipole formation at the hetero-interface still remain fascinating and, hence, are being intensively investigated. In this study, we experimentally investigate the dipole formation by employing a dielectric/dielectric (Al2O3/GeO2) interface. We investigate the dipole dependence on various post-deposition annealing (PDA) ambiences from the viewpoints of electrical extraction and the X-ray photoelectron spectroscopy measurement. The core level shift at the Al2O3/GeO2 interface is consistent with the dipole changes in various PDA ambiences. We discover that the dipole formation can be well explained by the interface gap state and charge neutrality level theory. These results further confirm the feasibility of gap state theory in explaining the band alignment at hetero-junctions. This study can be a booster to enhance the comprehension of dipole origin at hetero-junction interfaces.
  •  
3.
  • Luo, Jun, et al. (author)
  • Effects of carbon pre-silicidation implant into Si substrate on NiSi
  • 2014
  • In: Microelectronic Engineering. - : Elsevier BV. - 0167-9317 .- 1873-5568. ; 120, s. 178-181
  • Journal article (peer-reviewed)abstract
    • In this work, the effects of carbon pre-silicidation implant into Si(1 0 0) substrate on NiSi were investigated. NiSi films with carbon pre-silicidation implant to different doses were characterized by means of sheet resistance measurements, X-ray diffraction, scanning electron microscopy (SEM), planar view transmission electron microscopy (TEM) and second ion mass spectroscopy (SIMS). The presence of C is found to indeed significantly improve the thermal stability of NiSi as well as tends to change the preferred orientations of polycrystalline NiSi. The homogeneously distributed C at NiSi grain boundaries and C peak at NiSi/Si interface is ascribed to the improved thermal stability of NiSi. More importantly, the dose of carbon pre-silicidation implant also plays a key role in the formation of NiSi, which is suggested not to exceed a critical value about 5 x 10(15) cm(-2) in practical application in accordance with the results achieved in this work. (C) 2013 Elsevier B.V. All rights reserved.
  •  
4.
  •  
5.
  •  
6.
  • Luo, Jun, et al. (author)
  • Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements
  • 2014
  • In: Microelectronic Engineering. - : Elsevier BV. - 0167-9317 .- 1873-5568. ; 120, s. 174-177
  • Journal article (peer-reviewed)abstract
    • Change of contact resistivity (rho(c)) is monitored for evaluation of Schottky barrier height (SBH) variation induced by dopant segregation (DS). This method is particularly advantageous for metal-semiconductor contacts of small SBH, as it neither requires low-temperature measurement needed in current-voltage characterization of Schottky diodes nor is affected by reverse leakage current often troubling capacitance-voltage characterization. With PtSi contact to both n- and p-type diffusion regions, and the use of opposite or alike dopants implant into pre-formed PtSi films followed by drive-in anneal at different temperatures to induce DS at PtSi/Si interface, the formation of interfacial dipole is confirmed as the responsible cause for modification of effective SBHs thus the increase or decrease of rho(c). A tentative explanation for the change of contact resistivity based on interfacial dipole theory is provided in this work. Influences and interplay of interfacial dipole and space charge on effective SBH are also discussed. (C) 2013 Elsevier B.V. All rights reserved.
  •  
7.
  • Qin, Changliang, et al. (author)
  • Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14 nm node FinFETs
  • 2016
  • In: Solid-State Electronics. - : Elsevier. - 0038-1101 .- 1879-2405. ; 124, s. 10-15
  • Journal article (peer-reviewed)abstract
    • A complete mapping of 14 nm FinFETs performance over 200 mm wafers was performed and the pattern dependency of SiGe selective growth was calculated using an empirical kinetic molecule model for the reactant precursors. The transistor structures were analyzed by conventional characterization tools and their performance was simulated by considering the process related variations. The applied model presents for the first time a powerful tool for transistor community to predict the SiGe profile and strain modulating over a processed wafer, independent of wafer size.
  •  
8.
  • Wang, Guilei, et al. (author)
  • Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS)
  • 2020
  • In: Journal of materials science. Materials in electronics. - : Springer Science and Business Media LLC. - 0957-4522 .- 1573-482X. ; 31, s. 26-33
  • Journal article (peer-reviewed)abstract
    • In this study, the integration of Si 1−x Ge x (50% ≤ x ≤ 60%) selective epitaxy on source/drain regions in 10 nm node FinFET has been presented. One of the major process issues was the sensitivity of Si-fins’ shape to ex- and in-situ cleaning prior to epitaxy. For example, the sharpness of Si-fins could easily be damaged during the wafer washing. The results showed that a DHF dip before the normal cleaning, was essential to clean the Si-fins while in-situ annealing in range of 780–800 °C was needed to remove the native oxide for high epitaxial quality. Because of smallness of fins, the induced strain by SiGe could not be directly measured by X-ray beam in a typical XRD tool in the lab or even in a Synchrotron facility. Further analysis using nano-beam diffraction technique in high-resolution transmission electron microscope also failed to provide information about strain in the FinFET structure. Therefore, the induced strain by SiGe was simulated by technology computer-aided design program and the Ge content was measured by using energy dispersive spectroscopy. Simulation results showed 0.8, 1 and 1.3 GPa for Ge content of 40%, 50% and 60%, respectively. A kinetic gas model was also introduced to predict the SiGe profile on Si-fins with sharp triangular shape. The input parameters in the model includes growth temperature, partial pressure of the reactant gases and the exposed Si coverage in the chip area.
  •  
9.
  • Wang, Guilei, et al. (author)
  • Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors
  • 2017
  • In: Nanoscale Research Letters. - : Springer. - 1931-7573 .- 1556-276X. ; 12
  • Journal article (peer-reviewed)abstract
    • In this study, the integration of SiGe selective epitaxy on source/drain regions and high-k and metal gate for 22 nm node bulk pMOS transistors has been presented. Selective Si1-xGex growth (0.35 <= x <= 0.40) with boron concentration of 1-3 x 10(20) cm(-3) was used to elevate the source/drain. The main focus was optimization of the growth parameters to improve the epitaxial quality where the high-resolution x-ray diffraction (HRXRD) and energy dispersive spectrometer (EDS) measurement data provided the key information about Ge profile in the transistor structure. The induced strain by SiGe layers was directly measured by x-ray on the array of transistors. In these measurements, the boron concentration was determined from the strain compensation of intrinsic and boron-doped SiGe layers. Finally, the characteristic of transistors were measured and discussed showing good device performance.
  •  
10.
  • Wang, Guilei, et al. (author)
  • pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology
  • 2017
  • In: Nanoscale Research Letters. - : SPRINGER. - 1931-7573 .- 1556-276X. ; 12
  • Journal article (peer-reviewed)abstract
    • In this paper, pMOSFETs featuring atomic layer deposition (ALD) tungsten (W) using SiH4 and B2H6 precursors in 22 nm node CMOS technology were investigated. It is found that, in terms of threshold voltage, driving capability, carrier mobility, and the control of short-channel effects, the performance of devices featuring ALD W using SiH4 is superior to that of devices featuring ALD W using B2H6. This disparity in device performance results from different metal gate-induced strain from ALD W using SiH4 and B2H6 precursors, i.e. tensile stresses for SiH4 (similar to 2.4 GPa) and for B2H6 (similar to 0.9 GPa).
  •  
11.
  • Wang, Guilei, et al. (author)
  • Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology
  • 2016
  • In: Microelectronic Engineering. - : Elsevier. - 0167-9317 .- 1873-5568. ; 163, s. 49-54
  • Journal article (peer-reviewed)abstract
    • In this study, the process integration of SiGe selective epitaxy on source/drain regions, for 16/14 nm nodes FinFET with high-k & metal gate has been presented. Selectively grown Si1-xGex (0.35 <= x <= 0.40) with boron concentration of 1 x 10(20) cm(-3) was used to elevate the source/drain of the transistors. The epi-quality, layer profile and strain amount of the selectively grown SiGe layers were also investigated by means of various characterizations. A series of prebaking experiments were performed for temperatures ranging from 740 to 825 degrees C in order to in situ clean the Si fins prior to the epitaxy. The results showed that the thermal budget needs to be limited to 780-800 degrees C in order to avoid any damages to the shape of Si fins but to remove the native oxide effectively which is essential for high epitaxial quality. The Ge content in SiGe layers on Si fins was determined from the strain measured directly by reciprocal space mappings using synchrotron radiation. Atomic layer deposition technique was applied to fill the gate trench with W using WF6 and B2H6 precursors. By such an AID approach, decent growth rate, low resistivity and excellent gap filling capability of W in pretty high aspect-ratio gate trench was realized. The as-fabricated FinFETs demonstrated decent electrical characteristics.
  •  
12.
  • Wang, Xiaolei, et al. (author)
  • Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs
  • 2017
  • In: IEEE Transactions on Electron Devices. - : IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. - 0018-9383 .- 1557-9646. ; 64:6, s. 2611-2616
  • Journal article (peer-reviewed)abstract
    • This paper presents a numerical simulation study investigating the effect of buried oxide on surface roughness scattering limited hole mobility (mu(SR)) in ultrathin germanium-on-insulator (GeOI) MOSFETs, for the first time. The simulation considers wave function penetration at channel/oxide interface and nonlinear dependence of scattering matrix element on surface fluctuation. Three types of buried oxide materials are compared (GeO2, SiO2, and Si3N4). The mu(SR) increases in the order of SiO2 < GeO2 < Si3N4. This dependence of mu(SR) on buried oxide material is due to surface fluctuation scattering from backside Ge/buried oxide interface. Our simulation results show that Si3N4 and GeO2 are beneficial as buried oxide for mobility enhancement in GeOI MOSFETs, compared with conventional SiO2 as buried oxide. Our findings provide an insight into further improving mobility characteristic.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-12 of 12

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view