SwePub
Sök i LIBRIS databas

  Extended search

onr:"swepub:oai:DiVA.org:kth-207184"
 

Search: onr:"swepub:oai:DiVA.org:kth-207184" > Performance Analysi...

  • 1 of 1
  • Previous record
  • Next record
  •    To hitlist

Performance Analysis of Nanoelectromechanical Relay-Based Field-Programmable Gate Arrays

Qin, Tian (author)
Department of Electrical and Electronic Engineering, University of Bristol
Bleiker, Simon J. (author)
KTH,Mikro- och nanosystemteknik
Rana, Sunil (author)
Department of Electrical and Electronic Engineering, University of Bristol
show more...
Niklaus, Frank (author)
KTH,Mikro- och nanosystemteknik
Pamunuwa, Dinesh (author)
Department of Electrical and Electronic Engineering, University of Bristol
show less...
 (creator_code:org_t)
Institute of Electrical and Electronics Engineers (IEEE), 2018
2018
English.
In: IEEE Access. - : Institute of Electrical and Electronics Engineers (IEEE). - 2169-3536. ; 6, s. 15997-16009
  • Journal article (peer-reviewed)
Abstract Subject headings
Close  
  • The energy consumption of field-programmable gate arrays (FPGA) is dominated by leakage currents and dynamic energy associated with programmable interconnect. An FPGA built entirely from nanoelectromechanical (NEM) relays can effectively eliminate leakage energy losses, reduce the interconnect dynamic energy, operate at temperatures >225 °C and tolerate radiation doses in excess of 100 Mrad, while hybrid FPGAs comprising both complementary metal-oxide-semiconductor (CMOS) transistors and NEM relays (NEM-CMOS) have the potential to realize improvements in performance and energy efficiency. Large-scale integration of NEM relays, however, poses a significant engineering challenge due to the presence of moving parts. We discuss the design of FPGAs utilizing NEM relays based on a heterogeneous 3-D integration scheme, and carry out a scaling study to quantify key metrics related to performance and energy efficiency in both NEM-only and NEM-CMOS FPGAs. We show how the integration scheme has a profound effect on these metrics by changing the length of global wires. The scaling regime beyond which net performance and energy benefits is seen in NEM-CMOS over a baseline 90 nm CMOS technology is defined by an effective relay beam length of 0.5 μm , on-resistance of 200 kΩ , and a via pitch of 0.4 μm , all achievable with existing process technology. For ultra-low energy applications that are not performance critical, NEM-only FPGAs can provide close to 15× improvement in energy efficiency.

Subject headings

TEKNIK OCH TEKNOLOGIER  -- Elektroteknik och elektronik -- Annan elektroteknik och elektronik (hsv//swe)
ENGINEERING AND TECHNOLOGY  -- Electrical Engineering, Electronic Engineering, Information Engineering -- Other Electrical Engineering, Electronic Engineering, Information Engineering (hsv//eng)

Keyword

NEM Logic
3D integration
low-power electronics
Nanoelectromechanical
microelectromechanical
relay
non-volatile
3-terminal
4-terminal
nano switch
MEMS
NEMS
FPGA
energy efficiency
high-temperature
radiation-hard
integration
back-end-of-line
CMOS

Publication and Content Type

ref (subject category)
art (subject category)

Find in a library

To the university's database

  • 1 of 1
  • Previous record
  • Next record
  •    To hitlist

Find more in SwePub

By the author/editor
Qin, Tian
Bleiker, Simon J ...
Rana, Sunil
Niklaus, Frank
Pamunuwa, Dinesh
About the subject
ENGINEERING AND TECHNOLOGY
ENGINEERING AND ...
and Electrical Engin ...
and Other Electrical ...
Articles in the publication
IEEE Access
By the university
Royal Institute of Technology

Search outside SwePub

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view