SwePub
Sök i LIBRIS databas

  Extended search

onr:"swepub:oai:DiVA.org:uu-203239"
 

Search: onr:"swepub:oai:DiVA.org:uu-203239" > Towards more effici...

  • 1 of 1
  • Previous record
  • Next record
  •    To hitlist

Towards more efficient execution : a decoupled access-execute approach

Koukos, Konstantinos (author)
Uppsala universitet,Datorteknik,Uppsala Architecture Research Team
Black-Schaffer, David (author)
Uppsala universitet,Datorteknik,Uppsala Architecture Research Team
Spiliopoulos, Vasileios (author)
Uppsala universitet,Datorteknik,Uppsala Architecture Research Team
show more...
Kaxiras, Stefanos (author)
Uppsala universitet,Datorteknik,Uppsala Architecture Research Team
show less...
 (creator_code:org_t)
2013-06-10
2013
English.
In: Proc. 27th ACM International Conference on Supercomputing. - New York : ACM Press. - 9781450321303 ; , s. 253-262
  • Conference paper (peer-reviewed)
Abstract Subject headings
Close  
  • The end of Dennard scaling is expected to shrink the range of DVFS in future nodes, limiting the energy savings of this technique. This paper evaluates how much we can increase the effectiveness of DVFS by using a software decoupled access-execute approach. Decoupling the data access from execution allows us to apply optimal voltage-frequency selection for each phase and therefore improve energy efficiency over standard coupled execution.The underlying insight of our work is that by decoupling access and execute we can take advantage of the memory-bound nature of the access phase and the compute-bound nature of the execute phase to optimize power efficiency, while maintaining good performance. To demonstrate this we built a task based parallel execution infrastructure consisting of: (1) a runtime system to orchestrate the execution, (2) power models to predict optimal voltage-frequency selection at runtime, (3) a modeling infrastructure based on hardware measurements to simulate zero-latency, per-core DVFS, and (4) a hardware measurement infrastructure to verify our model's accuracy.Based on real hardware measurements we project that the combination of decoupled access-execute and DVFS has the potential to improve EDP by 25% without hurting performance. On memory-bound applications we significantly improve performance due to increased MLP in the access phase and ILP in the execute phase. Furthermore we demonstrate that our method can achieve high performance both in presence or absence of a hardware prefetcher.

Subject headings

TEKNIK OCH TEKNOLOGIER  -- Elektroteknik och elektronik -- Datorsystem (hsv//swe)
ENGINEERING AND TECHNOLOGY  -- Electrical Engineering, Electronic Engineering, Information Engineering -- Computer Systems (hsv//eng)

Keyword

Task-Based Execution
Decoupled Execution
Performance
Energy
DVFS
Computer Systems
Datorteknik

Publication and Content Type

ref (subject category)
kon (subject category)

Find in a library

To the university's database

  • 1 of 1
  • Previous record
  • Next record
  •    To hitlist

Find more in SwePub

By the author/editor
Koukos, Konstant ...
Black-Schaffer, ...
Spiliopoulos, Va ...
Kaxiras, Stefano ...
About the subject
ENGINEERING AND TECHNOLOGY
ENGINEERING AND ...
and Electrical Engin ...
and Computer Systems
Articles in the publication
Proc. 27th ACM I ...
By the university
Uppsala University

Search outside SwePub

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view