SwePub
Sök i LIBRIS databas

  Utökad sökning

onr:"swepub:oai:research.chalmers.se:80634982-0f90-4ded-8606-24a8b348d4b0"
 

Sökning: onr:"swepub:oai:research.chalmers.se:80634982-0f90-4ded-8606-24a8b348d4b0" > Embedded reconfigur...

Embedded reconfigurable computing: The ERA approach

Keramidas, G. (författare)
Industrial Systems Institute
Wong, S. (författare)
Technische Universiteit Delft,Delft University of Technology (TU Delft)
Anjam, F. (författare)
Technische Universiteit Delft,Delft University of Technology (TU Delft)
visa fler...
Brandon, Anthony (författare)
Technische Universiteit Delft,Delft University of Technology (TU Delft)
Seedorf, R. (författare)
Technische Universiteit Delft,Delft University of Technology (TU Delft)
Scordino, Claudio (författare)
Carro, L. (författare)
Universidade Federal do Rio Grande do Sul (UFRGS)
Matos, Debora (författare)
Universidade Federal do Rio Grande do Sul (UFRGS)
Giorgi, R. (författare)
Università degli Studi di Siena,University of Siena
Kavvadias, Stamatis (författare)
Università degli Studi di Siena,University of Siena
McKee, Sally A, 1963 (författare)
Chalmers tekniska högskola,Chalmers University of Technology
Goel, Bhavishya, 1981 (författare)
Chalmers tekniska högskola,Chalmers University of Technology
Spiliopoulos, Vasileios (författare)
Uppsala universitet,Uppsala University
visa färre...
 (creator_code:org_t)
2013
2013
Engelska.
Ingår i: IEEE International Conference on Industrial Informatics (INDIN). - 1935-4576. ; , s. 827-832
  • Konferensbidrag (refereegranskat)
Abstract Ämnesord
Stäng  
  • The growing complexity and diversity of embedded systems-combined with continuing demands for higher performance and lower power consumption-places increasing pressure on embedded platforms designers. The target of the ERA project is to offer a holistic, multi-dimensional methodology to address these problems in a unified framework exploiting the inter-and intra-synergism between the reconfigurable hardware (core, memory, and network resources), the reconfigurable software (compiler and tools), and the run-time system. Starting from the hardware level, we design our platform via a structured approach that allows integration of reconfigurable computing elements, network fabrics, and memory hierarchy components. These hardware elements can adapt their composition, organization, and even instruction-set architectures to exploit tradeoffs in performance and power. Appropriate hardware resources can be selected both statically at design time and dynamically at run time. Hardware details are exposed to our custom operating system, our custom runtime system, and our adaptive compiler, and are even visible all the way up to the application level. The design philosophy followed in the ERA project proved efficient enough not only to enable a better choice of power/performance trade-offs but also to support fast platform prototyping of high-efficiency embedded system designs. In this paper, we present a brief overview of the design approach, the major outcomes, and the lessons learned in the ERA project.

Ämnesord

NATURVETENSKAP  -- Data- och informationsvetenskap (hsv//swe)
NATURAL SCIENCES  -- Computer and Information Sciences (hsv//eng)

Nyckelord

Adaptive embedded platform
Hardware-software codesign
Reconfigurable computing.

Publikations- och innehållstyp

kon (ämneskategori)
ref (ämneskategori)

Hitta via bibliotek

Till lärosätets databas

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy