SwePub
Sök i SwePub databas

  Extended search

Träfflista för sökning "WFRF:(Forsberg Pontus 1981 ) "

Search: WFRF:(Forsberg Pontus 1981 )

  • Result 1-10 of 12
Sort/group result
   
EnumerationReferenceCoverFind
1.
  • Chlouba, Tomas, et al. (author)
  • Diamond-based dielectric laser acceleration
  • 2022
  • In: Optics Express. - 1094-4087. ; 30:1, s. 505-510
  • Journal article (peer-reviewed)abstract
    • The field of dielectric laser accelerators (DLA) garnered a considerable interest in the past six years as it offers novel opportunities in accelerator science and potentially transformative applications. Currently, the most widespread approach considers silicon-based structures due to their low absorption and high refractive index in the infrared spectral region and the well-developed silicon processing technology. In this paper we investigate a diamond as an alternative to silicon, mainly due to its considerably higher damage threshold. In particular, we find that our diamond grating allows a three times higher acceleration gradient (60 MeV/m) compared to silicon gratings designed for a similar electron energy. Using more complex geometries, GeV/m acceleration gradients are within reach for subrelativistic electrons.
  •  
2.
  • Delacroix, Christian, et al. (author)
  • Laboratory demonstration of a mid-infrared AGPM vector vortex coronagraph
  • 2013
  • In: Astronomy and Astrophysics. - : EDP Sciences. - 0004-6361 .- 1432-0746. ; 553, s. A98-
  • Journal article (peer-reviewed)abstract
    • Context. Coronagraphy is a powerful technique to achieve high contrast imaging, hence to image faint companions around bright targets. Various concepts have been used in the visible and near-infrared regimes, while coronagraphic applications in the mid-infrared nowadays remain largely unexplored. Vector vortex phase masks based on concentric subwavelength gratings show great promise for such applications.Aims. We aim at producing and validating the first high-performance broadband focal plane phase mask coronagraphs for applications in the mid-infrared regime, and in particular the L band with a fractional bandwidth of  ~16% (3.5–4.1 μm).Methods. Based on rigorous coupled wave analysis, we designed an annular groove phase mask (AGPM) producing a vortex effect in the L band, and etched it onto a series of diamond substrates. The grating parameters were measured by means of scanning electron microscopy. The resulting components were then tested on a mid-infrared coronagraphic test bench.Results. A broadband raw null depth of 2 × 10-3 was obtained for our best L-band AGPM after only a few iterations between design and manufacturing. This corresponds to a raw contrast of about 6 × 10-5 (10.5 mag) at 2λ/D. This result is fully in line with our projections based on rigorous coupled wave analysis modelling, using the measured grating parameters. The sensitivity to tilt and focus has also been evaluated.Conclusions. After years of technological developments, mid-infrared vector vortex coronagraphs have finally become a reality and live up to our expectations. Based on their measured performance, our L-band AGPMs are now ready to open a new parameter space in exoplanet imaging at major ground-based observatories.
  •  
3.
  • Forsberg, Pontus, 1981- (author)
  • Diamond Microfabrication for Applications in Optics and Chemical Sensing
  • 2013
  • Doctoral thesis (other academic/artistic)abstract
    • Diamond is a material with many exceptional properties. In this thesis methods for fabrication of microstructures as well as several applications of such structures in optics, microfluidics and electrochemistry are presented.A method for etching deep and highly precise gratings is described. This method was used to fabricate circularly symmetric half wave plates for use in vector vortex coronagraphs. Such coronagraphs are a very promising approach to the direct imaging of extrasolar planets.By varying the lateral etch rate of the aluminum mask during diamond etching in an inductively coupled plasma, the sidewall angle of the etched structures could be controlled. This method was used to make smooth sloped sides on a waveguide for coupling light into it. Antireflective structures that drastically reduced the surface reflection in a wavelength band between 10 and 50 µm were also fabricated.An array of boron doped diamond microelectrodes for electrochemical measurements in a microchannel was fabricated and tested, showing very good stability and reusability. Several hundred hours of use did not adversely affect their performance and no damage to them could be detected by atomic force microscopy or scanning electron microscopy.Superhydrophobic surfaces in diamond were demonstrated, using both hydrogen and fluorine termination. Hydrogen termination on a flat surface gives contact angles below 90°. To achieve a superhydrophobic surface with this low intrinsic hydrophobicity, structures looking like microscopic nail heads were fabricated. The effect of water pressure on immersed superhydrophobic surfaces was also studied and it was found that the collapse of the superhydrophobic state due to pressure was sometimes reversible as the pressure was lowered.Finally, a method was tested for functionalizing diamond surfaces using block copolymers of polyethylene oxide and polypropylene oxide to both passivate the surface and to attach synthetic binder molecules. This method was found to give very high signal to noise ratios when detecting C-reactive protein.
  •  
4.
  • Forsberg, Pontus, 1981-, et al. (author)
  • High aspect ratio optical gratings in diamond
  • 2013
  • In: Diamond and related materials. - : Elsevier BV. - 0925-9635 .- 1879-0062. ; 34, s. 19-24
  • Journal article (peer-reviewed)abstract
    • In this paper we describe a process for fabricating high aspect ratio gratings in single- or polycrystalline diamond with the high precision required by micro-optics. Nanoimprint lithography with a soft stamp and several layers of hard masks allowed for rapid and accurate replication of patterns written by e-beam or laser into thick Al masks on diamond substrates as large as 2 cm in diameter. Vertical sidewalls in the mask were crucial for avoiding microvilli formation during diamond plasma etching and were achieved by etching and oxidizing the Al mask in cycles. Circularly symmetric half-wave plates for wavelength bands around 4 and 11 mu m were fabricated with deep circular gratings on one side and antireflective gratings on the other.
  •  
5.
  • Forsberg, Pontus, 1981-, et al. (author)
  • High sensitivity infrared spectroscopy with a diamond waveguide on aluminium nitride
  • 2021
  • In: The Analyst. - : Royal Society of Chemistry. - 0003-2654 .- 1364-5528. ; 146:22, s. 6981-6989
  • Journal article (peer-reviewed)abstract
    • Mid-infrared waveguide spectroscopy promises highly sensitive detection and characterization of organic molecules. Different material combinations for waveguides and cladding have been demonstrated with promising results, each with its own strengths and weaknesses in terms of sensitivity, transmission window and robustness. In this article we present a 5 μm thick diamond planar waveguide on aluminium nitride cladding, using a new fabrication and polishing method. Diamond has a very wide transmission window in the infrared, and its hardness and high chemical stability allows for chemistries and cleaning protocols that may damage other materials. With an aluminium nitride cladding the waveguide has a useable range between 1000 and 1900 cm−1, which we demonstrate using a tunable quantum cascade laser (QCL). This is a large improvement over silicon dioxide cladding. Compared to previously demonstrated free-standing diamond waveguides, the robustness of the sensor is greatly improved, which allows for a thinner diamond layer and increased sensitivity. The new waveguide was used in a QCL-based optical setup to detect acetone in deuterium oxide and isopropyl alcohol in water. The measurements showed higher sensitivity and lower noise level than previous demonstrations of mid-infrared diamond waveguides, resulting in a two orders of magnitude lower detectable concentration.
  •  
6.
  • Forsberg, Pontus, 1981-, et al. (author)
  • Inclined surfaces in diamond : broadband antireflective structures and coupling light through waveguides
  • 2013
  • In: Optics Express. - 1094-4087. ; 21:3, s. 2693-2700
  • Journal article (peer-reviewed)abstract
    •  Control of the sidewall angle of diamond microstructures was achieved by varying the gas mixture, bias power and mask shape during inductively coupled plasma etching. Different etch mechanisms were responsible for the angle of the lower and upper part of the sidewall formed during diamond etching. These angles could to some extent be controlled separately. The developed etch process was used to fabricate wideband antireflective structures with an average transmission of 96.4% for wavelengths between 10 and 50 mu m. Smooth facetted edges for coupling light through waveguides from above were also demonstrated. 
  •  
7.
  • Forsberg, Pontus, 1981-, et al. (author)
  • Making the diamond vortex phase masks for the METIS instrument
  • 2024
  • In: Diamond and related materials. - : Elsevier. - 0925-9635 .- 1879-0062. ; 146
  • Journal article (peer-reviewed)abstract
    • Direct observation of exoplanets and proto-planetary disks with the METIS instrument at the Extremely Large Telescope will provide new insights into the processes of planet formation and exoplanet atmospheres. This will be possible thanks to a powerful vector vortex coronagraph that can suppress the starlight to reveal faint signals around it. Here we present the process of making the phase masks at the heart of the coronagraph. These annular groove phase masks consist of deep sub-wavelength gratings in diamond that are etched using inductively coupled oxygen plasma with a strong bias. The METIS instrument requires a wider bandwidth than such components have previously been demonstrated for, leading to a grating design with higher aspect ratio and more vertical walls. To achieve this, the etch mask used for diamond etching was changed from aluminium to silicon and the plasma power was increased. We also improved on our method for reducing the grating depth of finished components to fine-tune them. Together with improved optical testing, this allowed us to produce the best vortex phase masks so far demonstrated for the astronomical N-band.
  •  
8.
  • Mawet, Dimitri, et al. (author)
  • Characterization of the inner disk around HD 141569 A from KECK/NIRC2 L-band vortex coronagraphy
  • 2017
  • In: Astronomical Journal. - : American Astronomical Society. - 0004-6256 .- 1538-3881. ; 153:1, s. 1-10
  • Journal article (peer-reviewed)abstract
    • HD 141569 A is a pre-main sequence B9.5 Ve star surrounded by a prominent and complex circumstellar disk, likely still in a transition stage from protoplanetary to debris disk phase. Here, we present a new image of the third inner disk component of HD 141569 A made in the L′ band (3.8 μm) during the commissioning of the vector vortex coronagraph that has recently been installed in the near-infrared imager and spectrograph NIRC2 behind the W. M. Keck Observatory Keck II adaptive optics system. We used reference point-spread function subtraction, which reveals the innermost disk component from the inner working distance of ;23 au and up to ;70 au. The spatial scale of our detection roughly corresponds to the optical and near-infrared scattered light, thermal Q, N, and 8.6 μm PAH emission reported earlier. We also see an outward progression in dust location from the L′ band to the H  band (Very Large Telescope/ SPHERE image)  to the visible (Hubble Space Telescope (HST)/ STIS image), which is likely indicative of dust blowout. The warm disk component is nested deep inside the two outer belts imaged by HST-NICMOS in 1999 ( at 406 and 245 au, respectively) . We fit our new L′ -band image and spectral energy distribution of HD 141569 A with the radiative transfer code MCFOST. Our best-fit models favor pure olivine grains and are consistent with the composition of the outer belts. While our image shows a putative very faint point-like clump or source embedded in the inner disk, we did not detect any true companion within the gap between the inner disk and the first outer ring, at a sensitivity of a few Jupiter masses.
  •  
9.
  • Serabyn, E, et al. (author)
  • The W. M. Keck Observatory infrared vortex coronagraph and a first image of HIP79124 B
  • 2017
  • In: Astronomical Journal. - : American Astronomical Society. - 0004-6256 .- 1538-3881. ; 153:1, s. 1-7
  • Journal article (peer-reviewed)abstract
    • An optical vortex coronagraph has been implemented within the NIRC2 camera on the Keck II telescope and used to carry out on-sky tests and observations. The development of this new L′-band observational mode is described, and an initial demonstration of the new capability is presented: a resolved image of the low-mass companion to HIP 79124, which had previously been detected by means of interferometry. With HIP 79124 B at a projected separation of 186.5 mas, both the small inner working angle of the vortex coronagraph and the related imaging improvements were crucial in imaging this close companion directly. Due to higher Strehl ratios and more relaxed contrasts in L′ band versus H band, this new coronagraphic capability will enable high-contrast, small-angle observations of nearby young exoplanets and disks on a par with those of shorter-wavelength extreme adaptive optics coronagraphs.
  •  
10.
  •  
Skapa referenser, mejla, bekava och länka
  • Result 1-10 of 12

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view