SwePub
Sök i SwePub databas

  Utökad sökning

Träfflista för sökning "WFRF:(Stefanos Kaxiras) "

Sökning: WFRF:(Stefanos Kaxiras)

  • Resultat 1-10 av 110
Sortera/gruppera träfflistan
   
NumreringReferensOmslagsbildHitta
1.
  •  
2.
  • Abdulla, Parosh Aziz, et al. (författare)
  • Mending fences with self-invalidation and self-downgrade
  • 2018
  • Ingår i: Logical Methods in Computer Science. - 1860-5974. ; 14:1
  • Tidskriftsartikel (refereegranskat)abstract
    • Cache coherence protocols based on self-invalidation and self-downgrade have recently seen increased popularity due to their simplicity, potential performance efficiency, and low energy consumption. However, such protocols result in memory instruction reordering, thus causing extra program behaviors that are often not intended by the programmers. We propose a novel formal model that captures the semantics of programs running under such protocols, and features a set of fences that interact with the coherence layer. Using the model, we design an algorithm to analyze the reachability and check whether a program satisfies a given safety property with the current set of fences. We describe a method for insertion of optimal sets of fences that ensure correctness of the program under such protocols. The method relies on a counter-example guided fence insertion procedure. One feature of our method is that it can handle a variety of fences (with different costs). This diversity makes optimization more difficult since one has to optimize the total cost of the inserted fences, rather than just their number. To demonstrate the strength of our approach, we have implemented a prototype and run it on a wide range of examples and benchmarks. We have also, using simulation, evaluated the performance of the resulting fenced programs.
  •  
3.
  • Aimoniotis, Pavlos, et al. (författare)
  • Data-Out Instruction-In (DOIN!) : Leveraging Inclusive Caches to Attack Speculative Delay Schemes
  • 2022
  • Ingår i: 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED 2022). - : Institute of Electrical and Electronics Engineers (IEEE). - 9781665485265 - 9781665485272 ; , s. 49-60
  • Konferensbidrag (refereegranskat)abstract
    • Although the cache has been a known side-channel for years, it has gained renewed notoriety with the introduction of speculative side-channel attacks such as Spectre, which were able to use caches to not just observe a victim, but to leak secrets. Because the cache continues to be one of the most exploitable side channels, it is often the primary target to safeguard in secure speculative execution schemes. One of the simpler secure speculation approaches is to delay speculative accesses whose effect can be observed until they become non-speculative. Delay-on-Miss, for example, delays all observable speculative loads, i.e., the ones that miss in the cache, and preserves the majority of the performance of the baseline (unsafe speculation) by executing speculative loads that hit in the cache, which were thought to be unobservable.However, previous work has failed to consider how instruction fetching can eject cache lines from the shared, lower level caches, and thus from higher cache levels due to inclusivity. In this work, we show how cache conflicts between instruction fetch and data accesses can extend previous attacks and present the following new insights:1. It is possible to use lower level caches to perform Prime+Probe through conflicts resulting from instruction fetching. This is an extension to previous Prime+Probe attacks that potentially avoids other developed mitigation strategies.2. Data-instruction conflicts can be used to perform a Spectre attack that breaks Delay-on-Miss. After acquiring a secret, secret-dependent instruction fetching can cause cache conflicts that result in evictions in the L1D cache, creating observable timing differences. Essentially, it is possible to leak a secret bit-by-bit through the cache, despite Delay-on-Miss defending against caches.We call our new attack Data-Out Instruction-In, DOIN!, and demonstrate it on a real commercial core, the AMD Ryzen 9. We demonstrate how DOIN! interacts with Delay-on-Miss and perform an analysis of noise and bandwidth. Furthermore, we propose a simple defense extension for Delay-on-Miss to maintain its security guarantees, at the cost of negligible performance degradation while executing the Spec06 workloads.
  •  
4.
  • Aimoniotis, Pavlos, et al. (författare)
  • ReCon : Efficient Detection, Management, and Use of Non-Speculative Information Leakage
  • 2023
  • Ingår i: 56th IEEE/ACM International Symposium on Microarchitecture, MICRO 2023. - : Association for Computing Machinery (ACM). - 9798400703294 ; , s. 828-842
  • Konferensbidrag (refereegranskat)abstract
    • In a speculative side-channel attack, a secret is improperly accessed and then leaked by passing it to a transmitter instruction. Several proposed defenses effectively close this security hole by either delaying the secret from being loaded or propagated, or by delaying dependent transmitters (e.g., loads) from executing when fed with tainted input derived from an earlier speculative load. This results in a loss of memory-level parallelism and performance. A security definition proposed recently, in which data already leaked in non-speculative execution need not be considered secret during speculative execution, can provide a solution to the loss of performance. However, detecting and tracking non-speculative leakage carries its own cost, increasing complexity. The key insight of our work that enables us to exploit non-speculative leakage as an optimization to other secure speculation schemes is that the majority of non-speculative leakage is simply due to pointer dereferencing (or base-address indexing) - essentially what many secure speculation schemes prevent from taking place speculatively. We present ReCon that: i) efficiently detects non-speculative leakage by limiting detection to pairs of directly-dependent loads that dereference pointers (or index a base-address); and ii) piggybacks non-speculative leakage information on the coherence protocol. In ReCon, the coherence protocol remembers and propagates the knowledge of what has leaked and therefore what is safe to dereference under speculation. To demonstrate the effectiveness of ReCon, we show how two state-of-the-art secure speculation schemes, Non-speculative Data Access (NDA) and speculative Taint Tracking (STT), leverage this information to enable more memorylevel parallelism both in a single core scenario and in a multicore scenario: NDA with ReCon reduces the performance loss by 28.7% for SPEC2017, 31.5% for SPEC2006, and 46.7% for PARSEC; STT with ReCon reduces the loss by 45.1%, 39%, and 78.6%, respectively.
  •  
5.
  • Aimoniotis, Pavlos, et al. (författare)
  • Reorder Buffer Contention : A Forward Speculative Interference Attack for Speculation Invariant Instructions
  • 2021
  • Ingår i: IEEE COMPUTER ARCHITECTURE LETTERS. - : Institute of Electrical and Electronics Engineers (IEEE). - 1556-6056 .- 1556-6064. ; 20:2, s. 162-165
  • Tidskriftsartikel (refereegranskat)abstract
    • Speculative side-channel attacks access sensitive data and use transmitters to leak the data during wrong-path execution. Various defenses have been proposed to prevent such information leakage. However, not all speculatively executed instructions are unsafe: Recent work demonstrates that speculation invariantinstructions are independent of speculative control-flow paths and are guaranteed to eventually commit, regardless of the speculation outcome. Compile-time information coupled with run-time mechanisms can then selectively lift defenses for speculation invariant instructions, reclaiming some of the lost performance. Unfortunately, speculation invariant instructions can easily be manipulated by a form of speculative interference to leak information via a new side-channel that we introduce in this paper. We show that forward speculative interference where older speculative instructions interfere with younger speculation invariant instructions effectively turns them into transmitters for secret data accessed during speculation. We demonstrate forward speculative interference on actual hardware, by selectively filling the reorder buffer (ROB) with instructions, pushing speculative invariant instructions in-or-out of the ROB on demand, based on a speculatively accessed secret. This reveals the speculatively accessed secret, as the occupancy of the ROB itself becomes a new speculative side-channel.
  •  
6.
  • Alipour, Mehdi, et al. (författare)
  • A Taxonomy of Out-of-Order Instruction Commit
  • 2017
  • Ingår i: 2017 Ieee International Symposium On Performance Analysis Of Systems And Software (Ispass). - Los Alamitos : IEEE Computer Society. - 9781538638903 - 9781538638910 - 9781538638897 ; , s. 135-136
  • Konferensbidrag (refereegranskat)abstract
    • While in-order instruction commit has its advantages, such as providing precise interrupts and avoiding complications with the memory consistency model, it requires the core to hold on to resources (reorder buffer entries, load/store queue entries, registers) until they are released in program order. In contrast, out-of-order commit releases resources much earlier, yielding improved performance without the need for additional hardware resources. In this paper, we revisit out-of-order commit from a different perspective, not by proposing another hardware technique, but by introducing a taxonomy and evaluating three different micro-architectures that have this technique enabled. We show how smaller processors can benefit from simple out-oforder commit strategies, but that larger, aggressive cores require more aggressive strategies to improve performance.
  •  
7.
  • Alipour, Mehdi, et al. (författare)
  • Delay and Bypass : Ready and Criticality Aware Instruction Scheduling in Out-of-Order Processors
  • 2020
  • Ingår i: 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). - 9781728161495 ; , s. 424-434
  • Konferensbidrag (refereegranskat)abstract
    • Flexible instruction scheduling is essential for performance in out-of-order processors. This is typically achieved by using CAM-based Instruction Queues (IQs) that provide complete flexibility in choosing ready instructions for execution, but at the cost of significant scheduling energy.In this work we seek to reduce the instruction scheduling energy by reducing the depth and width of the IQ. We do so by classifying instructions based on their readiness and criticality, and using this information to bypass the IQ for instructions that will not benefit from its expensive scheduling structures and delay instructions that will not harm performance. Combined, these approaches allow us to offload a significant portion of the instructions from the IQ to much cheaper FIFO-based scheduling structures without hurting performance. As a result we can reduce the IQ depth and width by half, thereby saving energy.Our design, Delay and Bypass (DNB), is the first design to explicitly address both readiness and criticality to reduce scheduling energy. By handling both classes we are able to achieve 95% of the baseline out-of-order performance while only using 33% of the scheduling energy. This represents a significant improvement over previous designs which addressed only criticality or readiness (91%/89% performance at 74%/53% energy).
  •  
8.
  • Alipour, Mehdi, et al. (författare)
  • Exploring the performance limits of out-of-order commit
  • 2017
  • Ingår i: Proc. 14th Computing Frontiers Conference. - New York : ACM Press. - 9781450344876 ; , s. 211-220
  • Konferensbidrag (refereegranskat)abstract
    • Out-of-order execution is essential for high performance, general-purpose computation, as it can find and execute useful work instead of stalling. However, it is limited by the requirement of visibly sequential, atomic instruction execution --- in other words in-order instruction commit. While in-order commit has its advantages, such as providing precise interrupts and avoiding complications with the memory consistency model, it requires the core to hold on to resources (reorder buffer entries, load/store queue entries, registers) until they are released in program order. In contrast, out-of-order commit releases resources much earlier, yielding improved performance with fewer traditional hardware resources. However, out-of-order commit is limited in terms of correctness by the conditions described in the work of Bell and Lipasti. In this paper we revisit out-of-order commit from a different perspective, not by proposing another hardware technique, but by examining these conditions one by one and in combination with respect to their potential performance benefit for both non-speculative and speculative out-of-order commit. While correctly handling recovery for all out-of-order commit conditions currently requires complex tracking and expensive checkpointing, this work aims to demonstrate the potential for selective, speculative out-of-order commit using an oracle implementation without speculative rollback costs. We learn that: a) there is significant untapped potential for aggressive variants of out-of-order commit; b) it is important to optimize the commit depth, or the search distance for out-of-order commit, for a balanced design: smaller cores can benefit from shorter depths while larger cores continue to benefit from aggressive parameters; c) the focus on a subset of out-of-order commit conditions could lead to efficient implementations; d) the benefits for out-of-order commit increase with higher memory latency and works well in conjunction with prefetching to continue to improve performance.
  •  
9.
  • Alipour, Mehdi, et al. (författare)
  • FIFOrder MicroArchitecture : Ready-Aware Instruction Scheduling for OoO Processors
  • 2019
  • Ingår i: 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). - : IEEE. - 9783981926323 ; , s. 716-721
  • Konferensbidrag (refereegranskat)abstract
    • The number of instructions a processor's instruction queue can examine (depth) and the number it can issue together (width) determine its ability to take advantage of the ILP in an application. Unfortunately, increasing either the width or depth of the instruction queue is very costly due to the content-addressable logic needed to wakeup and select instructions out-of-order. This work makes the observation that a large number of instructions have both operands ready at dispatch, and therefore do not benefit from out-of-order scheduling. We leverage this to place such ready-at-dispatch instructions in separate, simpler, in-order FIFO queues for scheduling. With such additional queues, we can reduce the size and width of the expensive out-of-order instruction queue, without reducing the processor's overall issue width and depth. Our design, FIFOrder, is able to steer more than 60% of instructions to the cheaper FIFO queues, providing a 50% energy savings over a traditional out-of-order instruction queue design, while delivering 8% higher performance.
  •  
10.
  • Alipour, Mehdi, et al. (författare)
  • Maximizing limited resources : A limit-based study and taxonomy of out-of-order commit
  • 2019
  • Ingår i: Journal of Signal Processing Systems. - : Springer Science and Business Media LLC. - 1939-8018 .- 1939-8115. ; 91:3-4, s. 379-397
  • Tidskriftsartikel (refereegranskat)abstract
    • Out-of-order execution is essential for high performance, general-purpose computation, as it can find and execute useful work instead of stalling. However, it is typically limited by the requirement of visibly sequential, atomic instruction executionin other words, in-order instruction commit. While in-order commit has a number of advantages, such as providing precise interrupts and avoiding complications with the memory consistency model, it requires the core to hold on to resources (reorder buffer entries, load/store queue entries, physical registers) until they are released in program order. In contrast, out-of-order commit can release some resources much earlier, yielding improved performance and/or lower resource requirements. Non-speculative out-of-order commit is limited in terms of correctness by the conditions described in the work of Bell and Lipasti (2004). In this paper we revisit out-of-order commit by examining the potential performance benefits of lifting these conditions one by one and in combination, for both non-speculative and speculative out-of-order commit. While correctly handling recovery for all out-of-order commit conditions currently requires complex tracking and expensive checkpointing, this work aims to demonstrate the potential for selective, speculative out-of-order commit using an oracle implementation without speculative rollback costs. Through this analysis of the potential of out-of-order commit, we learn that: a) there is significant untapped potential for aggressive variants of out-of-order commit; b) it is important to optimize the out-of-order commit depth for a balanced design, as smaller cores benefit from reduced depth while larger cores continue to benefit from deeper designs; c) the focus on implementing only a subset of the out-of-order commit conditions could lead to efficient implementations; d) the benefits of out-of-order commit increases with higher memory latency and in conjunction with prefetching; e) out-of-order commit exposes additional parallelism in the memory hierarchy.
  •  
Skapa referenser, mejla, bekava och länka
  • Resultat 1-10 av 110
Typ av publikation
konferensbidrag (68)
tidskriftsartikel (21)
rapport (9)
doktorsavhandling (8)
annan publikation (2)
bok (1)
visa fler...
patent (1)
visa färre...
Typ av innehåll
refereegranskat (85)
övrigt vetenskapligt/konstnärligt (24)
populärvet., debatt m.m. (1)
Författare/redaktör
Kaxiras, Stefanos (102)
Ros, Alberto (41)
Sakalis, Christos (16)
Själander, Magnus (15)
Hagersten, Erik (13)
Carlson, Trevor E. (12)
visa fler...
Black-Schaffer, Davi ... (12)
Davari, Mahdad (10)
Alipour, Mehdi (9)
Cebrian, Juan M. (8)
Själander, Magnus, 1 ... (8)
Alves, Ricardo (7)
Aimoniotis, Pavlos (6)
Leonardsson, Carl (5)
Kaxiras, Stefanos, P ... (5)
Kvalsvik, Amund Berg ... (3)
Chen, Xiaoyue (3)
Black-Schaffer, Davi ... (3)
Voigt, Thiemo (2)
Abdulla, Parosh Aziz (2)
Atig, Mohamed Faouzi (2)
Zhu, Yunyun (2)
Kumar, Rakesh (2)
Sjalander, Magnus (2)
Nikoleris, Nikos (2)
Zeffer, Håkan (2)
Sagonas, Konstantino ... (1)
Mottola, Luca, 1980- (1)
Gunningberg, Per (1)
Norgren, Magnus (1)
H. Lipasti, Mikko, P ... (1)
Goel, Bhavishya, 198 ... (1)
Erez, Mattan, Profes ... (1)
Sandberg, Andreas (1)
Wrigstad, Tobias, Pr ... (1)
Asgharzadeh, Ashkan (1)
Perais, Arthur (1)
Eeckhout, Lieven (1)
McKee, Sally A, 1963 (1)
Hansson, Andreas (1)
Besharati, Farshid (1)
Furedal, Christian D ... (1)
Forsberg, Björn (1)
Forsmark, Niklas (1)
Grandin, Henrik (1)
Gunnarsson, Jimmy (1)
Ling, Engla (1)
Lofvars, Marcus (1)
Lundgren, Sven (1)
Mauricio, Luis (1)
visa färre...
Lärosäte
Uppsala universitet (109)
Kungliga Tekniska Högskolan (1)
Chalmers tekniska högskola (1)
Språk
Engelska (110)
Forskningsämne (UKÄ/SCB)
Naturvetenskap (76)
Teknik (34)

År

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy