SwePub
Sök i LIBRIS databas

  Utökad sökning

onr:"swepub:oai:DiVA.org:kth-207184"
 

Sökning: onr:"swepub:oai:DiVA.org:kth-207184" > Performance Analysi...

Performance Analysis of Nanoelectromechanical Relay-Based Field-Programmable Gate Arrays

Qin, Tian (författare)
Department of Electrical and Electronic Engineering, University of Bristol
Bleiker, Simon J. (författare)
KTH,Mikro- och nanosystemteknik
Rana, Sunil (författare)
Department of Electrical and Electronic Engineering, University of Bristol
visa fler...
Niklaus, Frank (författare)
KTH,Mikro- och nanosystemteknik
Pamunuwa, Dinesh (författare)
Department of Electrical and Electronic Engineering, University of Bristol
visa färre...
 (creator_code:org_t)
Institute of Electrical and Electronics Engineers (IEEE), 2018
2018
Engelska.
Ingår i: IEEE Access. - : Institute of Electrical and Electronics Engineers (IEEE). - 2169-3536. ; 6, s. 15997-16009
  • Tidskriftsartikel (refereegranskat)
Abstract Ämnesord
Stäng  
  • The energy consumption of field-programmable gate arrays (FPGA) is dominated by leakage currents and dynamic energy associated with programmable interconnect. An FPGA built entirely from nanoelectromechanical (NEM) relays can effectively eliminate leakage energy losses, reduce the interconnect dynamic energy, operate at temperatures >225 °C and tolerate radiation doses in excess of 100 Mrad, while hybrid FPGAs comprising both complementary metal-oxide-semiconductor (CMOS) transistors and NEM relays (NEM-CMOS) have the potential to realize improvements in performance and energy efficiency. Large-scale integration of NEM relays, however, poses a significant engineering challenge due to the presence of moving parts. We discuss the design of FPGAs utilizing NEM relays based on a heterogeneous 3-D integration scheme, and carry out a scaling study to quantify key metrics related to performance and energy efficiency in both NEM-only and NEM-CMOS FPGAs. We show how the integration scheme has a profound effect on these metrics by changing the length of global wires. The scaling regime beyond which net performance and energy benefits is seen in NEM-CMOS over a baseline 90 nm CMOS technology is defined by an effective relay beam length of 0.5 μm , on-resistance of 200 kΩ , and a via pitch of 0.4 μm , all achievable with existing process technology. For ultra-low energy applications that are not performance critical, NEM-only FPGAs can provide close to 15× improvement in energy efficiency.

Ämnesord

TEKNIK OCH TEKNOLOGIER  -- Elektroteknik och elektronik -- Annan elektroteknik och elektronik (hsv//swe)
ENGINEERING AND TECHNOLOGY  -- Electrical Engineering, Electronic Engineering, Information Engineering -- Other Electrical Engineering, Electronic Engineering, Information Engineering (hsv//eng)

Nyckelord

NEM Logic
3D integration
low-power electronics
Nanoelectromechanical
microelectromechanical
relay
non-volatile
3-terminal
4-terminal
nano switch
MEMS
NEMS
FPGA
energy efficiency
high-temperature
radiation-hard
integration
back-end-of-line
CMOS

Publikations- och innehållstyp

ref (ämneskategori)
art (ämneskategori)

Hitta via bibliotek

Till lärosätets databas

Sök utanför SwePub

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy