SwePub
Sök i LIBRIS databas

  Utökad sökning

WFRF:(Li Xingyu)
 

Sökning: WFRF:(Li Xingyu) > Study of SiGe selec...

Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology

Wang, Guilei (författare)
Qin, Changliang (författare)
Yin, Huaxiang (författare)
visa fler...
Luo, Jun (författare)
Duan, Ningyuan (författare)
Yang, Ping (författare)
Gao, Xingyu (författare)
Yang, Tao (författare)
Li, Junfeng (författare)
Yan, Jiang (författare)
Zhu, Huilong (författare)
Wang, Wenwu (författare)
Chen, Dapeng (författare)
Ye, Tianchun (författare)
Zhao, Chao (författare)
Radamson, Henry H. (författare)
KTH,Integrerade komponenter och kretsar
visa färre...
 (creator_code:org_t)
Elsevier, 2016
2016
Engelska.
Ingår i: Microelectronic Engineering. - : Elsevier. - 0167-9317 .- 1873-5568. ; 163, s. 49-54
  • Tidskriftsartikel (refereegranskat)
Abstract Ämnesord
Stäng  
  • In this study, the process integration of SiGe selective epitaxy on source/drain regions, for 16/14 nm nodes FinFET with high-k & metal gate has been presented. Selectively grown Si1-xGex (0.35 <= x <= 0.40) with boron concentration of 1 x 10(20) cm(-3) was used to elevate the source/drain of the transistors. The epi-quality, layer profile and strain amount of the selectively grown SiGe layers were also investigated by means of various characterizations. A series of prebaking experiments were performed for temperatures ranging from 740 to 825 degrees C in order to in situ clean the Si fins prior to the epitaxy. The results showed that the thermal budget needs to be limited to 780-800 degrees C in order to avoid any damages to the shape of Si fins but to remove the native oxide effectively which is essential for high epitaxial quality. The Ge content in SiGe layers on Si fins was determined from the strain measured directly by reciprocal space mappings using synchrotron radiation. Atomic layer deposition technique was applied to fill the gate trench with W using WF6 and B2H6 precursors. By such an AID approach, decent growth rate, low resistivity and excellent gap filling capability of W in pretty high aspect-ratio gate trench was realized. The as-fabricated FinFETs demonstrated decent electrical characteristics.

Ämnesord

NATURVETENSKAP  -- Fysik (hsv//swe)
NATURAL SCIENCES  -- Physical Sciences (hsv//eng)

Nyckelord

FinFET
SiGe selective epitaxy
RPCVD
High-k & metal gate

Publikations- och innehållstyp

ref (ämneskategori)
art (ämneskategori)

Hitta via bibliotek

Till lärosätets databas

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy