SwePub
Sök i LIBRIS databas

  Utökad sökning

WFRF:(Jantsch Axel)
 

Sökning: WFRF:(Jantsch Axel) > (2015-2019) > Accuracy-Aware Powe...

Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications

Kanduri, Anil (författare)
Haghbayan, Mohammad-Hashem (författare)
Rahmani, Amir M. (författare)
visa fler...
Liljeberg, Pasi (författare)
Jantsch, Axel (författare)
Tenhunen, Hannu (författare)
KTH,Integrerade komponenter och kretsar,University of Turku, Finland
Dutt, Nikil (författare)
visa färre...
 (creator_code:org_t)
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 2017
2017
Engelska.
Ingår i: IEEE Transactions on Very Large Scale Integration (vlsi) Systems. - : IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. - 1063-8210 .- 1557-9999. ; 25:10, s. 2749-2762
  • Tidskriftsartikel (refereegranskat)
Abstract Ämnesord
Stäng  
  • Power capping techniques based on dynamic voltage and frequency scaling (DVFS) and power gating (PG) are oriented toward power actuation, compromising on performance and energy. Inherent error resilience of emerging application domains, such as Internet-of-Things (IoT) and machine learning, provides opportunities for energy and performance gains. Leveraging accuracy-performance tradeoffs in such applications, we propose approximation (APPX) as another knob for close-looped power management, to complement power knobs with performance and energy gains. We design a power management framework, APPEND+, that can switch between accurate and approximate modes of execution subject to system throughput requirements. APPEND+ considers the sensitivity of the application to error to make disciplined alteration between levels of APPX such that performance is maximized while error is minimized. We implement a power management scheme that uses APPX, DVFS, and PG knobs hierarchically. We evaluated our proposed approach over machine learning and signal processing applications along with two case studies on IoT-early warning score system and fall detection. APPEND+ yields 1.9x higher throughput, improved latency up to five times, better performance per energy, and dark silicon mitigation compared with the state-of-the-art power management techniques over a set of applications ranging from high to no error resilience.

Ämnesord

NATURVETENSKAP  -- Data- och informationsvetenskap -- Datavetenskap (hsv//swe)
NATURAL SCIENCES  -- Computer and Information Sciences -- Computer Sciences (hsv//eng)

Nyckelord

Approximate computing
dark silicon
Internet-of-Things (IoT)
power management
runtime mapping

Publikations- och innehållstyp

ref (ämneskategori)
art (ämneskategori)

Hitta via bibliotek

Till lärosätets databas

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Stäng

Kopiera och spara länken för att återkomma till aktuell vy