SwePub
Sök i LIBRIS databas

  Extended search

WFRF:(Kong Z.)
 

Search: WFRF:(Kong Z.) > Study of silicon ni...

Study of silicon nitride inner spacer formation in process of gate-all-around nano-transistors

Li, J. (author)
Li, Y. (author)
Zhou, N. (author)
show more...
Xiong, W. (author)
Wang, G. (author)
Zhang, Q. (author)
Du, A. (author)
Gao, J. (author)
Kong, Z. (author)
Lin, H. (author)
Xiang, J. (author)
Li, C. (author)
Yin, X. (author)
Wang, X. (author)
Yang, H. (author)
Ma, X. (author)
Han, J. (author)
Zhang, J. (author)
Hu, T. (author)
Cao, Z. (author)
Yang, T. (author)
Yin, H. (author)
Zhu, H. (author)
Luo, J. (author)
Wang, W. (author)
Radamson, Henry H. (author)
Mittuniversitetet,Institutionen för elektronikkonstruktion,Chinese Academy of Sciences, Beijing, 100029, China;
show less...
 (creator_code:org_t)
2020-04-20
2020
English.
In: Nanomaterials. - : MDPI AG. - 2079-4991. ; 10:4
  • Journal article (peer-reviewed)
Abstract Subject headings
Close  
  • Stacked SiGe/Si structures are widely used as the units for gate-all-around nanowire transistors (GAA NWTs) which are a promising candidate beyond fin field effective transistors (FinFETs) technologies in near future. These structures deal with a several challenges brought by the shrinking of device dimensions. The preparation of inner spacers is one of the most critical processes for GAA nano-scale transistors. This study focuses on two key processes: Inner spacer film conformal deposition and accurate etching. The results show that low pressure chemical vapor deposition (LPCVD) silicon nitride has a good film filling effect; a precise and controllable silicon nitride inner spacer structure is prepared by using an inductively coupled plasma (ICP) tool and a new gas mixtures of CH2F2/CH4/O2/Ar. Silicon nitride inner spacer etch has a high etch selectivity ratio, exceeding 100:1 to Si and more than 30:1 to SiO2. High anisotropy with an excellent vertical/lateral etch ratio exceeding 80:1 is successfully demonstrated. It also provides a solution to the key process challenges of nano-transistors beyond 5 nm node. © 2020 by the authors. Licensee MDPI, Basel, Switzerland.

Subject headings

NATURVETENSKAP  -- Fysik -- Den kondenserade materiens fysik (hsv//swe)
NATURAL SCIENCES  -- Physical Sciences -- Condensed Matter Physics (hsv//eng)

Keyword

Field effect transistor
Gate-all-around (GAA)
High anisotropy
High etch selectivity
Inner spacer
Nanosheet
Nanostructure manufacture
Nanowire

Publication and Content Type

ref (subject category)
art (subject category)

Find in a library

To the university's database

Kungliga biblioteket hanterar dina personuppgifter i enlighet med EU:s dataskyddsförordning (2018), GDPR. Läs mer om hur det funkar här.
Så här hanterar KB dina uppgifter vid användning av denna tjänst.

 
pil uppåt Close

Copy and save the link in order to return to this view